脉宽测量部分VHDL源代码 - 高精度同步时钟信号在分布式录波器中的实现

来源:《微型机与应用》 作者:房树超,李芹2012年02月21日 11:05
[导读] IRIG-B的解码过程采用最简单的脉宽测量方法实现,经过编译比较,该方法所耗用的CPLD资源最少。IRIG-B码元信号的高低电平均为1 ms的整数倍,所以测量该信
IRIG-B的解码过程采用最简单的脉宽测量方法实现,经过编译比较,该方法所耗用的CPLD资源最少。IRIG-B码元信号的高低电平均为1 ms的整数倍,所以测量该信号的基本时钟采用1 kHz的时钟信号作为解码时钟。该时钟由系统输入的12.8 MHz时钟经过12 800次分频后产生。脉宽测量部分VHDL源代码描述如下:

  measureBwide:process(inputHClk)

  begin

  if rising_edge(inputHClk) then

  if pwmMeasureEnable=′1′ then

  --上升沿开始计数

  regCountH<=regCountH+1;

  --计数器++

  regOutputReadEnable<=′0′;

  --此时数据不可读

  else null;

  end if;

  if (regBLast=′1′)and( regBCurrent=′0′) then

  --降沿判断脉宽

  case (regCountH) is

  when 5000 to 14000 =>

  regOutputData<="0010";

  - regOutputPwm0Or1<=′0′;

  when 20000 to 30000=>

  regOutputData<="0101";

  regOutputPwm0Or1<=′1′;

  when 35000 to 48000=>

  regOutputData<="1000";

  regOutputPwm0Or1<=′0′;

  when others=>NULL;

  regOutputPwm0Or1<=′0′;

  end case;

  regCountH<=0;

  regOutputReadEnable<=′1′;

  --此时数据可读

  else null;

  end if;

  else NULL;

  end if;

  end process measureBwide;

  IRIG-B解码的主要任务是找到码元起始报头,也就是2个连续的占空比为8 ms:2 ms的脉冲。找到报头后,根据码元的分布情况逐一解出相应的数据即可。找到起始报头后,输出秒脉冲,同时根据解码数据输出串行数据。本文利用12.8 MHz进行1 333次分频产生9 600 b/s的串行数据波特率,虽然不是整数,但是可满足串行数据的误码率要求。

  分脉冲由秒脉冲计数器产生,即计数器计满1 min时,输出一个脉冲。

  3 同步逻辑信号的实现

  分布式同步逻辑的实现为本系统的重要部分,也是分布式录波系统同步录波的关键。系统中有一个专门用于接收各子单元故障的信号,作为系统录波的专用引脚,该信号为RS485驱动,因此抗干扰能力比较强。实际应用中,不论哪一个子单元判断出故障信号,均向本系统发出一个触发电平,当本系统收到该电平后立即发出启动信号,启动录波后一段时间再发出录波结束电平,结束本次录波工作。

  由于CPLD的并行处理功能强大与反应速度快的特点,所以子单元发出故障信号的延时可以忽略不计(小于10 ns)。由于不同的用户需要的录波文件大小不一致,所以将录波结束的控制参数交由用户在线设置。由故障、录波启动、录波结束三组信号再配合IRIG-B时钟信号即可实现系统的同步录波功能(此处的源代码不再赘述)。

  4 实验结果

  本系统在理论上最多能够连接32个子单元(RS485驱动能力的限制),实际应用中,由于受到产品外形结构的限制,最大连接了8个子单元,任意一个子单元发出故障信号时,均能通过本系统产生录波的同步信号,各项指标均满足录波器的相关指标要求。即使多个子单元发出故障信号,本系统亦能准确判断出故障信号,从而输出同步信号。

  通过实际测试,本文设计方案只占用了63%的系统资源,留有相当大的剩余资源,非常方便实现后期的功能升级,而不用更换硬件。

上一页12

本文导航

发表评论
技术交流、积极发言! 发表评请遵守相关规定。

0 条评论

推荐阅读

每月人物

依托AI平台,涂鸦智能开启全屋智能2.0时代!

依托AI平台,涂鸦智能开启全屋智能2.0时代!
随着物联网技术的突飞猛进,生活中越来越多的家庭设备将会联上网络,变得“智慧”起来,智慧家庭的概念成了这几年媒体、企业、用户关注的焦点,而...

发力IoT边缘智能服务,研华以平台服务与边缘智能计算打开物联网应用之门

发力IoT边缘智能服务,研华以平台服务与边缘智能计算打开物联网
研华IoT嵌入式平台事业群总经理许杰弘表示,工业物联网 2009年就开始提出,至今缺乏临门一脚,现在是打开大门的时候了。研华WISE-PaaS物智联软件平台和...

每周排行

  • 型 号
  • 产品描述