1. 建一个总文件夹,如cnt
2. 为源代码,测试台文件,仿真各建一文件夹。如src,tb,sim
3. 编写源代码,testbench。如cnt.v,tb_cnt.v文件,同时文件名里的模块名与文件名相同,如module cnt( ), module tb_cnt( )。
4. 再sim文件夹里加入tb.f文件:../tb/tb_cnt.v
../src/cnt.v
../tb/tb_cnt-y
../src +libext+.v(这里源代码中可有许多,下一次做的DPLL必须要用第二种)
5. 下面开始仿真,仿真,顾名思义要在仿真文件夹sim中进行。pwd 出现当前目录cd ..当前目录向上一级 cd e:/modelsim进入e盘中的modelsim目录
6. vlib work (建工作库),此时从库文件中含有modelsim自动生成的_into文件。
7. vlog –f tb.f (编译 .v文件),此时库文件加入了cnt.v 和 tb_cnt.v模块
8. vsim -voptargs=”+acc” tb_cnt (在testbench中测试源代码),此时sim文件夹里出现了vsim文件,work文件夹里有一些仿真文件。同时在modelsim中出现sim项。
9. 右击sim项中的实例名称,点击 add to wave all items in design 。本例中实例项是inst_cnt。(cnt inst_cnt(端口连接) 其中cnt为实例引用的模块名称,inst_cnt为实例引用中的实例名称),在wave窗口中出现的信号为/tb_cnt/clk
/tb_cnt/rstn
/tb_cnt/cnt(它们为连到端口的信号)
/tb_cnt/inst_cnt/i_clk
/tb_cnt/inst_cnt/i_rstn
/tb_cnt/inst_cnt/o_cnt (它们为模块cnt中定义的端口)。
10. 出现wave窗口,仿真即可。其中wave窗口中的按钮doom in ,doom out ,doom full。可调整波形大小。
11. 批处理文件(do文件):quit -sim
vlog -f tb.f
vsim -voptargs=”+acc” tb_cnt
add wave sim:/tb_cnt/inst_cnt/*
保存为sim.do文本文件,它等同于7—9步。
易懂的modelsim学习笔记
- ModelSim(46726)
相关推荐
Debussy学习笔记(一)
Debussy学习笔记(一)(转载)学习FPGA以来,一直是用Modelsim作为仿真调试工具,前几天在同事那儿看到了一个新工具:Debussy,看她用的相当顺手,而且工具本身也相当好用,有很多
2014-06-18 15:15:31
FPGA学习笔记汇总(7.13更新)
又有好资料跟大家分享了,再次感谢楼主@oldbeginner {:4_114:}FPGA 学习笔记01 (LCD 1602,verilog)FPGA 学习笔记02(UART RS232,verilog)FPGA 学习笔记03(I2C,verilog)
2014-06-20 10:42:13
JZ2440学习笔记分享!
最近细致深入的学习了裸机部分的视频教程,教程中有些没说清楚的地方,通过查资料补充了一些,总结写成笔记,现在分享在此,供同学们交流,本人水平有限,若有错误,敬请留言指出笔记在附件中
2019-09-30 04:45:39
NodeMCU学习笔记
NodeMCU学习笔记(1)— 硬件介绍、固件制作、烧录提示:作者使用 ESP8266 进行开发学习。文章目录NodeMCU学习笔记(1)--- 硬件介绍、固件制作、烧录前言一、硬件介绍二、固件制作
2021-11-04 07:43:12
PROTEUS仿真学习笔记汇总帖(7.15)
PROTEUS仿真学习笔记帖子,楼主@oldbeginner 总结的很好,资料也很详细的说,不分享给你们,实在可惜啊!楼主会抽空分享更多的笔记给大家,大家记得持续关注呦!为楼主这份乐于助人的精神点
2014-06-18 17:29:16
pytest学习笔记有哪些?
fixure为什么不能调用低级别的fixure?pytest.mark.usefixure注解在类上面和写在方法入参里面有什么差别?pytest学习笔记汇总
2020-11-04 09:23:40
ucos ii学习笔记整理
一份新鲜的学习笔记,自己也才入门,所以内容还不是很翔实深入,就当给同样在学ucos的童鞋们一个参考吧。笔记是用mindmanage做的,用思维导图记录学习笔记有一点好处就是,内容直观,条理清晰。不过
2020-05-11 01:59:59
ModelSim SE 入门
本文以ModelSim SE 5.6版本为基础,介绍ModelSim SE的最基本用法,高深的我也不会 。当你安装完ModelSim SE之后,可以将你的ModelSim SE的起始路径设置为你的工作目录(如e:verilog),具体方
2010-07-06 15:37:1569
2009年笔记本技术发展回顾(通俗易懂)
2009年笔记本技术发展回顾(通俗易懂)
· 导语
2010年,您是否有购买笔记本的计划?作为一款对多数人来说都价格不
2010-02-04 15:55:28472
ModelSim和QuestaSim功能简介及应用
ModelSim和QuestaSim功能简介及应用
ModelSim是工业界最优秀的语言仿真器,它提供最友好的调试环境
2010-04-29 09:07:2719277
Modelsim百问(一)
第一章 1、 关于 Modelsim中库的编译 2、 如何在modelsim中指定Altera的仿真库 3、 Modelsim波形文件 4、 后仿真时,是不是要对复位信号GSR/GTR做特别特殊处理?为什么? 5、 功能仿真加STA能不
2011-05-26 15:48:050
Altera Modelsim学习笔记
我近期计划陆续整理出以下几个方面的学习笔记:初学 ModelSimSE 时被迷糊了几天的若干概念;在 ModelSimSE 中添加 ALTERA 仿真库的详细步骤;用 ModelSimSE 进行功能仿真和时序仿真的方法(
2012-08-15 15:47:3066
使用 ModelSim 进行设计仿真详解
本章为ModelSim的初级教程,读者读完本章可以较为熟练的使用ModelSim进行设计仿真,本章没有也不可能涉及ModelSim的各个方面,要想全面的掌握ModelSim可以参阅软件文档。
2015-12-24 18:29:370
新手学习FPGA之Xilinx篇如何将ISE和ModelSim关联
最近在学习FPGA,使用ModelSim对ISE进行仿真,首先要将ISE和ModelSim进行有效的关联,折腾了一天终于弄懂了如何将他们有效的关联在一起。 (1)首先要安装ModelSim,注意
2017-02-09 01:34:111385
modelsim保存波形操作方法解析
在数字电路设计中(Verilog or VHDL),ModelSim是常用的仿真工具。当我们仿真大型的工程时,往往需要保留仿真波形,以便分析和以后查看。这就需要学习在ModelSim里面如何保存仿真波形及调用波形。
2017-11-24 11:13:2415022
STM32各模块学习笔记
STM32个模块学习笔记 目录 STM32笔记之一 中断优先级.....................................................1 STM32笔记之二 开关
2017-11-30 03:32:212944
使用Vivado 2017调用Modelsim的详细步骤
本次使用的Vivado版本为Vivado_2017.3版本,从Xilinx官方文档可以了解到,该版本的Vivado只支持Modelsim10.6或者更高版本,但是笔者只有Modelsim
2019-03-30 09:51:4616946
Modelsim仿真教程Modelsim的基础入门基础教程免费下载
笔者一直以来都在纠结,自己是否要为仿真编辑相关的教程呢?一般而言,Modelsim等价仿真已经成为大众的常识,但是学习仿真是否学习Modelsim,笔者则是一直保持保留的态度。笔者认为,仿真
2019-04-30 18:24:0023
基于ModelSim使用二联合Quarus自动仿真教程
3 ModelSim工程实战之自动仿真说完了 ModelSim 的使用流程,接下来我们将会对每个流程进行详细的操作演示,一步步、手把手带领大家学习使用 ModelSim 软件。首先我们讲解
2021-07-23 10:51:171710
基于ModelSim使用四ModelSim手动仿真教程
4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation
2021-07-23 11:10:483514
OpenStack之Cinder学习笔记
OpenStack之Cinder学习笔记(开关电源技术教程ppt)-该文档为OpenStack之Cinder学习笔记总结文档,是一份不错的参考资料,感兴趣的可以下载看看,,,,,,,,,,,,,,,,,
2021-09-23 12:40:595
ModelSim工程实战之自动仿真
在该页面中,如果你安装的是 ModelSim 软件,那么你需要在 ModelSim 路径中进行设置,这里我们使用的 ModelSim-Altera , 所 以 我 们 将 ModelSim-Altera 路 径 设 置 成 了C:altera13.1modelsim_asewin32aloem。
2022-05-17 10:09:421898
ModelSim手动仿真教程
在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation 文件夹中创建
2022-07-11 10:58:094458
芯片设计之Modelsim仿真工具
Modelsim仿真将设计以树状表示,设计中的每一个实体,每一个module、每一个进程(always块、initial块等)在Modelsim仿真中以对象的形式展现。
2022-08-12 15:04:362136
Vivado调用Modelsim仿真
Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
2023-07-24 09:04:431817
评论
查看更多