VHDL源代码
VHDL源代码:
library ieee; --显示器彩条发生器
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity VGA is
port(clk,mode :in std_logic; --扫描时钟/显示模式选择时钟
d,hs,vs,r,g,b:out std_logic); --行,场同步/红,绿,蓝
end VGA;
architecture a of VGA is
signal hs1,vs1,fclk,cclk,divide_clk,dly: std_logic;
signal mmode :std_logic_vector(1 downto 0); --方式选择
signal cnt :std_logic_vector(2 downto 0);
signal fs :std_logic_vector(3 downto 0);
signal cc :std_logic_vector(4 downto 0); --行同步/横彩条生成
signal ll :std_logic_vector(8 downto 0); --长同步/竖彩条生成
signal grbh :std_logic_vector(3 downto 1); --X 横彩条
signal grby :std_logic_vector(3 downto 1); --Y 竖彩条
signal grbx :std_logic_vector(3 downto 1); --文字
signal grbt :std_logic_vector(3 downto 1); --图案
signal grbp :std_logic_vector(3 downto 1);
signal grb :std_logic_vector(3 downto 1);
signal x :integer range 0 to 800;
signal x1: integer range 0 to 800;
signal y1: integer range 0 to 600;
signal x2: integer range 0 to 800;
signal x3: integer range 0 to 800;
signal x4: integer range 0 to 800;
signal x5: integer range 0 to 800;
signal x7: integer range 0 to 800;
signal x8: integer range 0 to 800;
signal x9: integer range 0 to 800;
signal x10: integer range 0 to 800;
signal x11: integer range 0 to 800;
signal y2: integer range 0 to 600;
signal y3: integer range 0 to 600;
signal y4: integer range 0 to 600;
signal y5: integer range 0 to 600;
signal y6: integer range 0 to 600;
signal c: integer range 0 to 30;
非常好我支持^.^
(7) 100%
不好我反对
(0) 0%
下载地址
VHDL源代码下载
相关电子资料下载
- 例说Verilog HDL和VHDL区别 212
- 如何使用SystemC做RTL和C/C++的联合仿真呢? 210
- 基于FPGA的USB接口控制器设计(VHDL) 251
- X态是什么?X态有什么危害?如何避免X态的产生?X态怎么处理? 999
- fpga的开发流程有哪些步骤?fpga和嵌入式系统的区别在哪里? 848
- 用VHDL语言创建一个8位算术逻辑单元(ALU) 473
- FPGA协处理的优势有哪些?如何去使用FPGA协处理? 1270
- 基于VHDL语言用FPGA制作SPI-ASI接口转换器 1043
- FPGA开发语言的选择 185
- 什么是DFX技术?DFX设计一定要执行设计规则检查吗? 1674