0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

DRAM扩展挑战越来越大

渔翁先生 来源:电子发烧友网 作者:Allen Yin 整合 2019-11-25 11:33 次阅读

DRAM制造商正在进入下一阶段的扩展,但是随着存储技术接近其物理极限,他们面临着一些挑战。

DRAM用于系统中的主存储器,当今最先进的设备基于大约18nm至15nm的工艺。DRAM的物理极限约为10nm。研发部门正在努力扩展该技术,并最终将其替换为新的存储器类型。

但是,到目前为止,还没有直接的替代方法。并且,在采用新解决方案之前,供应商将继续扩展DRAM并提高性能,尽管在当前1xnm节点体制下将逐步增加。并且在未来的节点上,部分但不是全部DRAM制造商将实现从传统光刻到超紫外(EUV)光刻的重大转变,以在Fab中生产。

无论有无EUV,DRAM供应商都面临更高的成本和其他挑战。但是,DRAM是系统中存储/存储层次结构的关键部分。在层次结构的第一层中,SRAM被集成到处理器中以实现快速数据访问。下一层DRAM用于主存储器。磁盘驱动器和基于NAND的固态存储驱动器(SSD)用于存储。

DRAM行业是一个巨大而艰难的市场。由于市场价格压力,DRAM供应商正处于低迷之中。然而,OEM仍希望更快的DRAM具有更大的带宽,以跟上5G机器学习等新的数据密集型应用的冲击。

作为响应,DRAM供应商正在朝着新的更快的带宽规范发展。但是供应商不再按照传统的速度扩展或缩小DRAM,在每个节点上,DRAM的比例大约为30%。实际上,DRAM缩放正在减慢,这会影响面积密度和成本。在DRAM中,节点由存储单元的有源或主体的半节距指定。

如今,供应商正在以1xnm节点机制交付三款先进的DRAM产品。这三代DRAM没有指定数字节点。业界将它们简称为1xnm,1ynm和1znm。

然后,在研发中,供应商在路线图上又扩展了三代DRAM,全部都是1xnm节点制。这些被称为1anm,1bnm和1***。1anm DRAM计划于2021年或更早推出。

总而言之,DRAM在扩展方面仅取得了适度的增长,并停留在1xnm节点状态。但是与普遍看法相反,DRAM并没有用尽。“我们还没有完成。我们认为路线图不会完全关闭。美光科技公司DRAM产品工程高级总监Debra Bell说。“几年来我们的想法很清晰。然后,我们还有其他想法。我们正在讨论并对此进行评估。”

尽管如此,业界在扩展此存储器方面仍面临若干挑战。目前尚不清楚DRAM是否可以扩展到10nm以上。但是,市面上的竞赛活动仍然很活跃:

来自中国的DRAM供应商将在今年进入市场。

供应商正在交付具有下一个接口规格DDR5 DRAM,称为DDR5,它可以加快设备中的数据传输速率。

在未来的DRAM节点上,三星和SK海力士计划插入EUV进行DRAM生产。但是,美光科技计划在先进的DRAM节点上扩展当今的光刻技术。

在研发中,供应商正在研究将DRAM扩展到10nm以上的技术。此外,供应商正在开发几种可以替代DRAM和闪存的新存储器。

DRAM前景

随着IC市场的长期不景气,2019年全球DRAM销售额预计将达到620亿美元,低于2018年的994亿美元。根据VLSI Research的数据,预计2019年整个IC市场将下降12.9%。

但是,今天,代工业务正在升温,显示出复苏迹象。IBS首席执行官Handel Jones表示:“在DRAM方面,明年我们会迅速回升。” “目前价格正在趋向稳定。”

此外,DRAM存储在服务器和智能手机等系统中继续增长。美光公司表示,智能手机的平均DRAM存储将从2018年的3GB增加到2019年的4GB。人工智能,数据和视频的爆炸式增长推动了这种增长,而人工智能,数据和视频的爆炸式增长需要更多的内存来帮助在系统中存储和传输信息

同时,根据TrendForce的数据,在DRAM市场上,三星在2019年第二季度以45.5%的份额领先,紧随其后的是SK海力士(28.7%)和美光(20.5%)。几家中国***DRAM供应商所占份额很小。

在2019年,中国的DRAM供应商将进入市场,但一段时间内很难形成有效战力。预计国内一家供应商长鑫存储存技术有限公司将在年底前量产DRAM。在某个时候,清华紫光集团希望进入DRAM业务。国内另一家公司,福建晋华JHICC暂时未知动向。

但是,DRAM是系统中的关键构建块。DRAM既快速又便宜,但也有一些缺点。DRAM和SRAM是易失性存储技术,这意味着在系统电源关闭时它们会丢失数据。相比之下,闪存是非易失性的,这意味着在系统关闭时它会保留数据。

DRAM本身基于一晶体管,一电容器(1T1C)存储单元架构。数据作为电荷存储在电容器中,该电容器被指定为“ 0”或“ 1”。晶体管控制对数据的访问。

DRAM的微小电容一晶体管设计使其非常适合将众多存储单元封装到很小的区域,以实现高密度和高存储容量。实际上,数十亿个DRAM单元可以被压缩到单个存储芯片上,” Lam Research的高级技术总监Alex Yoon解释说。

DRAM单元以固定方式组织。这些单元成排排列,并具有位线结构,该位线结构连接到称为字线的存储地址中。该地址提供了一种识别数据存储位置的方法,字线形成了一条电气路径,使该行上的所有存储单元都可以同时被激活以进行存储(写)或检索(读)。” 。

但是,随着时间的流逝,当晶体管关闭时,电容器将泄漏或放电。因此,电容器中存储的数据必须每64毫秒刷新一次,这会消耗系统功耗。

在每个节点上缩放或缩小DRAM单元也变得越来越困难。Applied Materials存储器技术董事总经理Gill Lee 在博客中说:“有了DRAM,几何横向缩放仍在继续,但是它正在放缓,并且如3D NAND一样,需要进一步的材料创新。”

缩放电容器是一个障碍。“在电池电容缩放方面,长宽比是一个挑战,”美光的Bell说。DRAM的另一个关键的缩放挑战是从电容器到数字线的电荷共享。这是您的时序规格,将电荷移至数字线上需要多少时间,然后可以将数字线制作多长时间的综合。所有这些因素都会影响扩展以及扩展的挑战。”

DRAM基于堆叠式电容器架构,其中电容器被连接并驻留在凹陷的沟道阵列晶体管结构上。

电容器是垂直的圆柱状结构。在圆柱体内部,电容器结合了金属-绝缘体-金属(MIM)材料叠层。该绝缘体基于二氧化锆高k材料,从而使该结构可在低泄漏时保持其电容。

在DRAM流中,首先制造晶体管,然后制造电容器。在每个节点处,目标是保持或增加圆柱形电容器内部的体积。但是在每个节点处,电容器都会收缩,这可能导致结构内部的体积减小。这等于存储电容器中的单元电容较小。

在20nm时,该行业在电容器缩放方面遇到了障碍。作为回应,三星开发了一种新的蜂窝电容器单元布局技术,起始于20nm。

传统上,微小的圆形电容器单元并排放置在结构的表面上。相比之下,三星将表面的细胞交错排列,类似于蜂窝状布局。这样就可以使用直径更大的更高电容器。使用相同的介电材料,蜂窝结构的单元电容比以前的版本大21%。

为了在工厂中制造这些结构,三星使用了193nm浸没式光刻和自对准双图案(SADP)工艺。在流中,先在表面上构图出孔,然后再蚀刻。重复该过程。沉积金属,然后使用原子层沉积(ALD)沉积高k材料。

扩展DRAM

利用晶圆厂中的这些技术和其他技术,三星,美光和SK海力士扩展了DRAM,并超越了20nm。

这并不容易。例如,图案化具有良好对准的电容器孔是具有挑战性的。以高深宽比蚀刻电容器也很困难。TechInsights的分析师Jeongdong Choe说:“ ALD和干法蚀刻都很难。“但是,在缩小的DRAM单元阵列上,非常薄且均匀的高k电介质沉积变得越来越重要。”

从2016年开始,供应商开始采用1xnm节点制,其中供应商在路线图中拥有三种DRAM产品(1xnm,1ynm和1znm)。最初,将1xnm节点定义为具有17nm至19nm几何形状的DRAM,1ynm是14nm至16nm,1znm是11nm至13nm。

今天,一些供应商已经放宽了扩展规格,在市场上造成了一些混乱。有些DRAM符合这些规格,而另一些则不符合。最重要的是,DRAM单元大小略有不同,大约为6F2。像元大小等于要素(F)大小乘以四平方。

总而言之,供应商正在逐步增加1xnm节点体系,有时是逐纳米。即使这样,供应商仍然能够在某种程度上减小模具尺寸。

2016年,三星发布了业界首个1xnm DRAM,即18nm器件。8Gbit器件比2xnm器件快30%,功耗更低。它还包含DDR4接口标准。双倍数据速率(DDR)技术在设备的每个时钟周期两次传输数据。DDR4的最高运行速度为3200Mbps。

如今,与此同时,DRAM供应商正在向下一个节点1ynm扩展设备。通常基于15纳米及以上工艺的1纳米DRAM将占今年出货量的大部分。IBS的琼斯说:“到今年年底,三星的GB容量的70%将为1纳米。”

SK Hynix最近推出了16Gbit 1ynm DRAM,其密度是先前8Gbit版本的两倍。该设备还采用了新的DDR5接口标准。

最初,DDR5支持5200Mbps,比DDR4快60%。DDR5最高可支持6,400Mbps。

其他公司也正在发售DDR5 DRAM。移动版本称为LPDDR5。DDR4仍然是主流技术,尽管出于多种原因需要DDR5 / LPDDR5。

多年来,处理器供应商已转向多核CPU体系结构。但是,每核内存带宽几乎没有跟上。

OEM希望DRAM具有更快的数据传输速率。这就是DDR5的合适地方。“在这里,您可以获得带宽和容量。我们希望能够通过CPU内核进行扩展。考虑一下CPU内核数。在过去十年中,它已经上涨了约8倍。显然,内存必须紧跟潮流,才能跟上整体计算性能的步伐。”三星销售与营销高级副总裁Jim Elliott在最近的一次演讲中表示。

同时,下一个战场发生在下一个节点-1znm。美光是第一家出货1znm DRAM的供应商,其次是三星和SK Hynix。这些设备基于DDR4或DDR5规范。

每个供应商都声称在1znm具有领导地位。但并非所有部分都一样,并且缩放规格也有所不同。IBS的琼斯说:“现在有很多行销活动。”

除了1znm之外,供应商在路线图上还拥有三层以上规模的DRAM(1anm,1bnm和1***)。供应商尚未透露这些零件的细节,这些零件仍处于1xnm节点状态。

供应商在1安乃至更远的地方采取了不同的途径。在那些节点处,特征较小,且具有更多的遮罩层。为了简化过程,DRAM行业首次将EUV投入生产。

例如,SK Hynix计划在1anm使用EUV,该技术将于2021年面世。“三星在1z完成了对DRAM的EUV测试。但是,他们不会将EUV用于1z量产。相反,他们也许可以将其用于1a或1b批量产品。” TechInsights的Choe说。

EUV扫描仪使用13.5nm波长,以13nm分辨率对特征进行图案化。但是EUV是一项复杂的技术,比投入生产所需的时间更长。

不过,最近,三星和台积电已经在7nm逻辑节点上投入了EUV的生产,而R&D则为5nm。DRAM是EUV的下一个产品。“使用EUV,您可以获得更好的图案保真度。这些掩模层堆叠得越多,得到的图像就越模糊。” VLSI Research首席执行官Dan Hutcheson说道。

但是,并非所有人都转向EUV。在先进的DRAM节点上,美光计划将193nm浸没式光刻和SADP扩展到1bnm。对于1***,四倍图案正在开发中。

这不是什么大惊喜。美光以尽可能延长给定的光刻技术而闻名。VLSI的Hutcheson说:“他们已经学会了如何极其节俭地使用工具,以及如何从中获得更多的生命。”

扩展DRAM将需要超过EUV。今天的1T1C DRAM可能会再延长几年,但可能会在12nm到10nm的范围内耗尽。

因此,业界正在寻找以4F2单元尺寸将DRAM扩展到10nm以上的方法。TechInsights的Choe说:“垂直门以及无电容器的1T DRAM单元是4F2的候选产品。”

这里存在一些挑战,特别是对于类似于3D结构的垂直栅极沟道晶体管。三星首席工程师Dongsoo Woo在最近的演讲中说:“问题在于字线到字线的耦合以及位线到位线的耦合。”

DRAM替代品?

同时,多年来,业界一直在开发几种可以替代DRAM和闪存的下一代存储器类型。

如今,供应商正在发售相变存储器(PCM),ReRAM和STT-MRAM。其他内存技术也在研发中。

下一代存储器具有快速,非易失性和无限的耐用性。但是这些新记忆还依赖于奇特的材料和复杂的转换机制,因此它们需要花费更长的时间来开发。另外,新的内存类型更昂贵。

每个新的内存类型都不同。PCM以非晶相和结晶相存储信息。STT-MRAM利用电子自旋的磁性。ReRAM通过改变材料的电阻来工作。

如今,PCM和STT-MRAM设备用于SSD的某些部分。在系统的某些但并非全部部分中,它们代替了DRAM。因此,可以肯定地说它们并没有完全取代DRAM。

UMC产品营销总监David Hideo Uriu表示:“目前,我们看不到任何可以直接替代DRAM的下一代存储器。” “我们确实看到通过使用MRAM替代SRAM 。但是对于持久替换DRAM的目标,我们只能看到“混合缓存” DRAM / MRAM组件。”

STT-MRAM本身正在取得进展。“ MRAM技术将继续改善并更接近永久性存储器的目标。MRAM是最接近DRAM速度和性能的技术。“鉴于读取数据的速度接近DRAM,某些应用程序可能能够将其用作某些DRAM的替代品。再次,以“混合”形式,DRAM将用于缓存MRAM存储区域并提高性能,从而在某些应用中替代DRAM。”

结论

可以肯定的是,下一代内存类型很有希望。但是这些产品仍处于早期阶段。在此之前,DRAM仍然存在并且运转良好,并且至少在可预见的将来,它可能还会存在。但是究竟有多久仍是一个未知数。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • DRAM
    +关注

    关注

    40

    文章

    2282

    浏览量

    182949
  • 三星电子
    +关注

    关注

    34

    文章

    15795

    浏览量

    180657
  • 美光
    +关注

    关注

    5

    文章

    702

    浏览量

    51325
  • SK海力士
    +关注

    关注

    0

    文章

    918

    浏览量

    38254
收藏 人收藏

    评论

    相关推荐

    LM324调零调好后,改变输入的时候,输出的偏差越来越大,怎么解决?

    调零调好后,改变输入的时候,输出的偏差越来越大,不知道如何调整,望大神指导!
    发表于 08-28 06:51

    THS3001搭建驱动电路带宽与芯片手册相差悬殊问题出在哪里?

    相符,无衰减和延迟,随着输入信号频率的增加,输出信号衰减会越来越大,相位延迟也越来越大。请问问题出在哪里?
    发表于 08-05 08:17

    TC3XX can发送报文,周期上下波动严重怎么解决?

    使用FULL CAN发送,周期调用Can_17_McmCan_lWriteMsgObj发送报文,查看周期是稳定的,但到发出来和中断触发的时间会上下波动,而且波动越来越大
    发表于 07-11 08:00

    请问光路交换OCS如何实现安全的QKD网络部署呢?

    在日益互联的世界中,数据安全变得至关重要。传统加密方法虽然强大,但随着量子计算能力的不断发展,它们面临着越来越大挑战
    的头像 发表于 05-07 09:47 366次阅读

    cs1237两个差分线,正极端电压大于负极端,两者相差越大,读出来的数据越来越

    尝试加上补码转原码也是一样,压差越来越大,数值越来越小,正常不应该是越来越大吗?
    发表于 03-30 10:52

    嵌入式会越来越卷吗?

    ,嵌入式系统的安全性也面临着越来越大挑战。 这要求设计师在设计和实现时需要充分考虑安全问题并采取相应的措施来保护数据和系统的安全。 总结 综上所述,嵌入式系统的“卷”是一个多维度的过程。其多样性
    发表于 03-18 16:41

    IC datasheet为什么越来越薄了?

    刚毕业的时候IC spec动则三四百页甚至一千页,这种设置和使用方法很详尽,但是这几年IC datasheet为什么越来越薄了,还分成了IC功能介绍、code设置、工厂量产等等规格书,很多东西都藏着掖着,想了解个IC什么东西都要发邮件给供应商,大家有知道这事为什么的吗?
    发表于 03-06 13:55

    英特尔百亿补贴让赴美芯片企业警觉 补贴争议越来越大

      英特尔百亿补贴让赴美芯片企业警觉 补贴争议越来越大   此前有外媒彭博社爆出英特尔将有望获得美国政府提供的100亿美元巨额补贴;这引发了其他一些在美投资企业的不满。   对此有
    的头像 发表于 02-20 16:03 801次阅读

    TLE9879电流为0的情况下检测是对的,随着电流增加偏差越来越大是什么原因呢?

    电流为0的情况下检测是对的,随着电流增加偏差越来越大是什么原因呢?
    发表于 02-06 07:46

    绝对值编码器用于定位,单方向旋转,位置偏差越来越大是什么原因?

    绝对值编码器用于定位,单方向旋转,位置偏差越来越大。 编码器用来定位,定位是循环的,不同值对应不同位置例:1-2-3-4-1 不同位置录入不同编码器数值。刚才是运转几圈,位置比较准确, 当单方向运转好多圈之后,位置偏移越来越大,求大家帮忙分析下问题所在!!!!
    发表于 01-09 11:50

    聊聊车载摄像头的ESD问题

    新能源汽车的浪潮越来越大,车身智能也越来越完善,而智能驾驶与传感器息息相关。
    的头像 发表于 01-02 15:59 1049次阅读
    聊聊车载摄像头的ESD问题

    EDA+IP,攻克大规模数字电路设计挑战的“不二法门”

    ,对大规模数字芯片提出了更多需求,系统愈加复杂,设计挑战越来越大。因此,在当前行业现状和发展趋势下,如何加速大规模数字电路设计,就成为了业内芯片设计公司关注的焦点。
    的头像 发表于 12-16 08:23 963次阅读
    EDA+IP,攻克大规模数字电路设计<b class='flag-5'>挑战</b>的“不二法门”

    浅谈DRAM的常用封装技术

    目前,AI服务器对HBM(高带宽内存)的需求量越来越大,因为HBM大大缩短了走线距离,从而大幅提升了AI处理器运算速度。HBM经历了几代产品,包括HBM、HBM2、HBM2e和HMB3,最新的HBM3e刚出样品。
    发表于 11-28 09:49 1117次阅读
    浅谈<b class='flag-5'>DRAM</b>的常用封装技术

    单片机在以后会越来越趋向于低端化应用吗?

    随着现在的技术和产品功能需求越来越高,好像单片机能完成的事情越来越少;以后是不是嵌入式芯片是主流,单片机渐渐只能在低端上应用?
    发表于 10-24 08:30

    数据中心能耗越来越大,氮化镓会是效救星吗?

    在AI的蓬勃发展下,数据中心对电力与运算的需求呈正比成长,激增的用电量不仅对营运效率造成压力,更成为数据中心达成净零排放目标的阻碍。当前数据中心所采用的电力转换及分配技术,已难以满足来自云计算及机器学习的运算需求,面对更庞大能源的生成式AI应用,数据中心运营商正急迫地寻找创新电力解决方案。
    的头像 发表于 10-18 16:28 623次阅读