0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Achronix联合BittWare推出了VectorPath S7t-VG6 PCIe加速卡

5RJg_mcuworld 来源:嵌入式资讯精选 2019-11-27 15:16 次阅读

据Semico Research预测,数据中心加速器市场预计将从2018年的28.4亿美元增长到2023年的211.9亿美元,这其中包括CPUGPUFPGAASIC,而FPGA预计将是年复合增长率最高的细分市场,因为越来越多的企业级工作负载加速应用采用FPGA方式。例如在人工智能应用中,虽然采用GPU训练样本更加有效(相对来说成本也比较高),但是人工智能应用的下半场将进入推理阶段,这一阶段的增长会超过训练阶段,而这一阶段恰好是FPGA非常擅长的,GPU也只能望其项背了。

正是看好这一市场的未来前景,Achronix联合BittWare(Molex公司)推出了VectorPath S7t-VG6 PCIe加速卡。

接口和尺寸都是标准的,拿来即可使用

VectorPathS7t-VG6 PCIe加速卡立足于FPGA芯片

据Achronix公司市场营销副总裁Steve Mensor介绍,作为唯一能够提供高端独立FPGA芯片和嵌入式FPGA(eFPGA)硅知识产权(IP)技术的FPGA供应商,非常看好其在加速器方面的应用,因为在这一应用中,FPGA与传统CPU相比性能高出了10~100倍(因具体应用而异)。

这些应用都需要硬件加速器

FPGA方式加速在不同应用领域有所差异

VectorPath S7t-VG6 PCIe加速卡上核心的FPGA芯片采用了Achronix公司的Speedster7t,其基于高度优化的全新架构,性能如同ASIC,但是同时拥有FPGA的灵活性和增强功能,远远优于传统FPGA解决方案。Speedster7t采用全新的二维片上网络(2D NoC),这种结构如同在FPGA可编程逻辑结构上运行的高速公路网络一样,支持接口和可编程逻辑结构中的自定义加速功能之间的高带宽通信,这样每个MLP都是一个高度可配置的计算密集型单元模块,具有多达32个乘法器/累加器(MAC),可以支持4位到24位的整数格式和各种浮点模式。Steve Mensor表示,正是有了2D NoC,使FPGA就成为了像软件一样友好的硬件,FPGA IP生态公司在完成设计之后,可以便捷地将其IP连接到AXI接口,而所有的高速接口和存储器交给Speedster7t就可以了。

2D NoC结构

更加关键的是Speedster7t器件具有满足未来人工智能和机器学习要求的超高带宽接口,包括400G以太网端口、用于数据传输的PCI Express Gen5端口以及支持低成本、高带宽存储的GDDR6控制器

在谈到公司战略时,Steve Mensor表示,目前很多友商都在采用软件思维发展FPGA芯片,但是开发者需要考虑他们的哪些应用要放在哪里处理,而且还要考虑芯片内部的数据传输,而Achronix则走另一条路线,努力提高算力,在底层依然采用硬件思维,这样熟悉FPGA开发的工程师会很容易上手,2D NoC消除了传统FPGA使用可编程路由和逻辑查找表资源在整个FPGA中移动数据流中出现的拥塞和性能瓶颈。Steve Mensor坚信,这条传统的发展路线会走得更加顺畅。

感觉内部清爽多了吧!

更多关于Speedster7t的细节,请点击“别人聊FPGA,咱们来说说FPGA+!”

选用VectorPathS7t-VG6 PCIe加速卡,享受两家公司的服务

VectorPath S7t-VG6 PCIe加速卡是Achronix和BittWare两家公司深度合作的产品,这款加速卡包括一整套Achronix的ACE开发工具以及BittWare的基板管理控制器和开发工具包,其中包括API、PCIe驱动程序、诊断自测和应用示例设计,为工程师提供开箱即用的体验。VectorPath S7t-VG6 PCIe加速卡可以提供以下硬件功能:400GbEQSFP-DD和100GbE QSFP56接口,8组GDDR6存储器可提供4 Tbps的总带宽,1组带有错误检查和纠正功能的、运行频率为2666 MHz的DDR4存储器,符合PCI认证要求Speedster7tFPGA集成了带宽为20 Tbps的二维片上网络,692K的6输入查找表(LUT),40K Int8MAC提供高于80 TOps的算力,用于连接扩展卡的4通道PCIe Gen4连接器OCuLink。

Speedster7t是唯一可以支持GDDR6的FPGA芯片

BittWare公司副总裁Sam Bichara介绍,像微软、Facebook这类位于tier1的大公司早在3年前已经将FPGA应用到其数据中心的加速应用中,但是那些处于tier2或者更小的公司没有财力和人力将FPGA融合到自己应用中的工作,但是又急需FPGA硬件加速卡,所以BittWare和Achronix深度合作定制了这款加速器来满足这类客户的需求,客户拿来即可用。

根据客户需求的不同,这款加速器可以有不同的合作模式,比如如果客户的需求在100片以上时,BittWare可以根据客户功能要求重新设计和打造加速卡;当客户批量达到上万单品时,BittWare可以支持客户利用自己优选的、获得了授权的合约制造商来制造和测试S7t-VG6加速卡,客户在获得授权之后,甚至可以创建其自有的S7t-VG6加速卡品种。

使用VectorPath S7t-VG6 PCIe开发过程中遇到的任何问题,Achronix和BittWare均可以提供售后支持和服务。BittWare现在已经可以接受订单,2020年第二季度发货。

有了VectorPath S7t-VG6 PCIe加速卡,中小企业数据中心的CPU也不用“硬抗”了,交给VectorPath S7t-VG6 PCIe中的FPGA处理就OK了。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 控制器
    +关注

    关注

    112

    文章

    15941

    浏览量

    175866
  • 以太网
    +关注

    关注

    40

    文章

    5294

    浏览量

    170065
  • 机器学习
    +关注

    关注

    66

    文章

    8308

    浏览量

    131985

原文标题:有了这个基于FPGA的加速卡,中小企业做AI也不是事了!

文章出处:【微信号:mcuworld,微信公众号:嵌入式资讯精选】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    EPSON差分晶振SG3225VEN频点312.5mhz应用于AI加速卡

    AI加速卡,通常也被称为AI算力,是一种专为加速人工智能(AI)应用和算法而设计的硬件设备。AI加速卡在数据中心、云计算、边缘计算和高性能计算(HPC)环境中广泛应用,用于
    发表于 09-10 14:56 0次下载

    智能加速计算设计原理图:628-基于VU3P的双路100G光纤加速计算 XCVU3P板卡

    DA 信号处理板卡 , PCIe 光纤加速计算 , XCVU3P板卡 , 高速视频采集 , 信号输出验证, PCIe 光纤
    的头像 发表于 08-01 11:03 184次阅读
    智能<b class='flag-5'>加速</b>计算<b class='flag-5'>卡</b>设计原理图:628-基于VU3P的双路100G光纤<b class='flag-5'>加速</b>计算<b class='flag-5'>卡</b> XCVU3P板卡

    云天励飞推出IPU-X6000加速卡,针对大模型推理任务设计

    近期,云天励飞推出IPU-X6000加速卡。该产品具备256T算力、128GB显存容量、486GB/S显存带宽;采用C2C Mesh互联技术,可实现
    的头像 发表于 07-24 11:03 412次阅读

    借助全新 AMD Alveo™ V80 计算加速卡释放计算能力

    对于大规模数据处理,最佳性能不仅取决于原始计算能力,还取决于高存储器带宽。 因此,全新 AMD Alveo™ V80 计算加速卡专为具有大型数据集的内存受限型应用而设计,这些应用需要 FPGA 硬件
    发表于 05-16 14:09 165次阅读
    借助全新 AMD Alveo™ V80 计算<b class='flag-5'>加速卡</b>释放计算能力

    BittWare提供基于英特尔Agilex™ 7 FPGA最新加速

    BittWare 当前的加速板产品组合包括最新的英特尔 Agilex 7 FPGA F、I 和 M 系列,包括 Compute Express Link (CXL) 和 PCIe* 5
    的头像 发表于 04-30 15:22 694次阅读
    <b class='flag-5'>BittWare</b>提供基于英特尔Agilex™ <b class='flag-5'>7</b> FPGA最新<b class='flag-5'>加速</b>板

    Bittware提供开放式FPGA堆栈和支持英特尔®oneAPI的加速卡

    通过使用开放式 FPGA 堆栈 (OFS) ,BittWare 在其 FPGA 解决方案上提供对 oneAPI 的支持。
    的头像 发表于 03-29 14:57 456次阅读
    <b class='flag-5'>Bittware</b>提供开放式FPGA堆栈和支持英特尔®oneAPI的<b class='flag-5'>加速卡</b>

    英伟达发布最强AI加速卡Blackwell GB200

    英伟达在最近的GTC开发者大会上发布了其最新、最强大的AI加速卡——GB200。这款加速卡采用了新一代AI图形处理器架构Blackwell,标志着英伟达在AI图形处理领域迈入了一个新的里程碑。
    的头像 发表于 03-20 11:38 903次阅读

    宁畅发布《基于标准PCIe接口的人工智能加速卡液冷设计》白皮书

    宁畅公司最近发布了一份名为《基于标准PCIe接口的人工智能加速卡液冷设计》的技术白皮书,这份白皮书由宁畅参与起草,并由开放计算标准工作委员会(OCTC)归口,旨在为冷板式人工智能加速卡的设计提供重要的指导。
    的头像 发表于 01-09 14:02 582次阅读

    宁畅参与发布AI加速卡液冷设计白皮书

    近日,由宁畅参与起草的《基于标准PCIe接口的人工智能加速卡液冷设计》白皮书正式发布。该技术白皮书由开放计算标准工作委员会(OCTC)归口,旨在为冷板式人工智能加速卡的设计提供重要指导。
    的头像 发表于 01-09 11:28 658次阅读

    瞬变对AI加速卡供电的影响

    ,尤其是在学习和推理时。这种需求不断地将供电网络的边界推向前所未有的新水平。这些高密度工作负载变得愈加复杂,更高的瞬态需求推动配电网络的每个部分都必须高效运行。AI加速卡严格的功耗要求对系统性能也有影响。本文将讨论AI加速卡的配电网络要求,剖析瞬
    的头像 发表于 12-01 18:10 340次阅读
    瞬变对AI<b class='flag-5'>加速卡</b>供电的影响

    超低延迟大并发实时语音识别加速方案详解

    Achronix与Myrtle.ai共同推出了超低延迟大并发实时智能语音识别方案,其硬件平台采用基于Achronix Speedster7t FPGA的
    发表于 11-24 12:42 412次阅读
    超低延迟大并发实时语音识别<b class='flag-5'>加速</b>方案详解

    墨芯S4/S30推理加速卡通过openEuler社区技术评测

    近期,墨芯S4/S30推理加速卡顺利通过了openEuler社区的严格技术评测,成功获得与Intel芯片及openEuler 22.03 LTS的兼容性认证。
    的头像 发表于 10-31 09:08 521次阅读

    FPGA加速卡的PCB设计注意事项

    本应用笔记概述了PCI Express卡机电规范修订版3.0定义的加速卡外形尺寸。它解决了印刷电路板 (PCB) 设计挑战,从堆叠设计到介电材料选择,再到 PCB 设计过程中使用的 PCB 制造技术
    的头像 发表于 10-30 09:39 9099次阅读

    AMD 为超低时延电子交易推出 Alveo UL3524 加速卡

    Data、Exegy 和 Hypertec 加入到不断壮大的面向金融科技市场的超低时延解决方案生态系统 - AMD(超威,纳斯达克股票代码:AMD)日前宣布 推出 AMD Alveo UL3524 加速卡
    的头像 发表于 10-11 08:10 644次阅读
    AMD 为超低时延电子交易<b class='flag-5'>推出</b> Alveo UL3524 <b class='flag-5'>加速卡</b>

    AMD 推出为超低时延电子交易专属打造的基于FPGA的加速卡

    新款 AMD Alveo 金融科技加速卡能为交易公司和经纪商提供突破性的纳秒级交易执行性能以及 AI 赋能的交易策略— 解决方案合作伙伴 Alpha Data、Exegy 和 Hypertec
    发表于 10-07 14:43 326次阅读
    AMD <b class='flag-5'>推出</b>为超低时延电子交易专属打造的基于FPGA的<b class='flag-5'>加速卡</b>