0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

首款基于机器学习引擎的新版数字全流程吞吐量最高提升了3倍

lyj159 来源:与非网 作者:与非网 2020-03-18 17:01 次阅读

楷登电子(美国 Cadence 公司NASDAQ:CDNS)今日发布已经过数百次先进工艺节点成功流片验证的新版 Cadence 数字全流程,进一步优化功耗,性能和面积,广泛应用于汽车,移动,网络,高性能计算和人工智能AI)等各个领域。流程采用了支持机器学习(ML)功能的统一布局布线和物理优化引擎等多项业界首创技术,吞吐量最高提升 3 倍,PPA 最高提升 20%,助力实现卓越设计。

首款基于机器学习引擎的新版数字全流程吞吐量最高提升了3倍

经过多项关键技术,全新 Cadence 数字全流程实现了 PPA 和吞吐量的进一步提升:

Cadence 数字全流程 iSpatial 技术:iSpatial 技术将 Innovus™ 设计实现系统的 GigaPlace™ 布线引擎和 GigaOpt™ 优化器集成到 Genus™ 综合解决方案,支持布线层分配,有效时钟偏移和通孔支柱等特性。iSpatial 技术让用户可以使用统一的用户界面和数据库完成从 Genus 物理综合到 Innovus 设计实现的无缝衔接。

机器学习(ML)功能:ML 功能可以让用户用现有设计训练 iSpatial 优化技术,实现传统布局布线流程设计裕度的最小化。

优化签核收敛:数字全流程采用统一的设计实现,时序签核及电压降签核引擎,通过所有物理,时序和可靠性目标设计的同时收敛来增强签核性能,帮助客户降低设计裕度,减少迭代。

“基于已经广泛采纳的集成流程,全新的增强版数字全流程进一步强化了 Cadence 在数字与签核设计领域的领导力,助力客户实现 SoC 卓越设计,”Cadence 公司资深副总裁兼数字与签核事业部总经理 Chin-Chi Teng 博士表示。“我们与客户紧密合作,缓解大规模设计下日益紧张的时间压力,提供高效达成 PPA 目标的全部所需。”

Cadence 数字全流程包括 Innovus 设计实现系统,Genus 综合解决方案,Tempus 时序签核解决方案和 Voltus IC 电源完整性解决方案。流程为客户提供实现设计收敛的快速路径和更好的可预测性,支持公司的智能系统设计™ 战略,助力实现高级节点片上系统(SoC)的卓越设计。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 人工智能
    +关注

    关注

    1783

    文章

    45191

    浏览量

    232541
  • ML
    ML
    +关注

    关注

    0

    文章

    140

    浏览量

    34341
  • 机器学习
    +关注

    关注

    66

    文章

    8227

    浏览量

    131281
收藏 人收藏

    评论

    相关推荐

    用Iperf例程测试ESP32-C6的TCP通信,吞吐量很低的原因?

    为什么我用官网的Iperf例程测试ESP32-C6的TCP通信,吞吐量才0.33Mbps
    发表于 06-06 07:47

    利用NVIDIA组件提升GPU推理的吞吐

    本实践中,唯品会 AI 平台与 NVIDIA 团队合作,结合 NVIDIA TensorRT 和 NVIDIA Merlin HierarchicalKV(HKV)将推理的稠密网络和热 Embedding 置于 GPU 上进行加速,吞吐相比 CPU 推理服务
    的头像 发表于 04-20 09:39 324次阅读

    大模型训练:为何A100更胜4090一筹?

    首先看吞吐量,看起来没有什么违和的,在单卡能放下模型的情况下,确实是 H100 的吞吐量最高,达到 4090 的两
    发表于 03-13 12:27 2581次阅读

    如何提高CYBT-243053-02吞吐量

    你好我们一直在使用“EZ-Serial Firmware: v1.4.13.13 Sep 22 2023 10:24:41”测试“CYBT-243053-02”,我们得到的吞吐量比 PUART 高
    发表于 02-27 06:56

    GD32VW553吞吐量及场景功耗测试指南应用说明

    电子发烧友网站提供《GD32VW553吞吐量及场景功耗测试指南应用说明.pdf》资料免费下载
    发表于 12-14 10:20 1次下载
    GD32VW553<b class='flag-5'>吞吐量</b>及场景功耗测试指南应用说明

    影响ATE电源系统吞吐量的关键因素

    从串行设备测试改变为并行设备测试可以显著地增加测试系统吞吐量。测试执行活动的大部分可能涉及使用DC电源设置条件和进行测量。配置测试系统,使其能够使用多个直流电源同时对多个设备执行测试,是显著提高测试吞吐量的一种经济有效的方法。
    发表于 11-29 12:36 197次阅读
    影响ATE电源系统<b class='flag-5'>吞吐量</b>的关键因素

    如何显著提高ATE电源吞吐量

    作为一名测试工程师,你的工作并不容易。降低成本和提高系统吞吐量的压力一直存在。本文中,我们将讨论影响系统吞吐量的关键因素以及如何降低ATE测试成本。
    的头像 发表于 11-08 14:59 485次阅读
    如何显著提高ATE电源<b class='flag-5'>吞吐量</b>?

    橙群微电子推出Stellar适用于新一代蓝牙网关系统的高吞吐量 BLE 模块

    来自橙群微电子令人振奋的消息!推出Stellar-适用于新一代蓝牙网关系统的高吞吐量BLE模块!应对挑战:传统BLE网关通常面临吞吐量有限、无法高效扫描大量标签以及大型部署中的可扩展性问题等挑战
    的头像 发表于 11-03 09:34 286次阅读
    橙群微电子推出Stellar适用于新一代蓝牙网关系统的高<b class='flag-5'>吞吐量</b> BLE 模块

    志R128 BLE最高吞吐量测试正确配置测试方法

    在R128使用前我们需要了解BLE的最高吞吐量,以方便评估相关功能的开发。
    的头像 发表于 10-27 17:17 910次阅读
    <b class='flag-5'>全</b>志R128 BLE<b class='flag-5'>最高</b><b class='flag-5'>吞吐量</b>测试正确配置测试方法

    志R128 BLE最高吞吐量测试正确配置测试

    在R128使用前我们需要了解BLE的最高吞吐量,以方便评估相关功能的开发。 首先我们了解一下哪些因素会影响蓝牙的吞吐量: 1、蓝牙版本与PHY: 蓝牙设备的版本和物理层(PHY)对于吞吐量
    发表于 10-26 16:46

    志R128 BLE最高吞吐量测试正确配置测试

    在R128使用前我们需要了解BLE的最高吞吐量,以方便评估相关功能的开发。 首先我们了解一下哪些因素会影响蓝牙的吞吐量: 1、蓝牙版本与PHY: 蓝牙设备的版本和物理层(PHY)对于吞吐量
    发表于 10-16 15:22

    Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

    的生产力 基于 AI 驱动的 Virtuoso Studio 的定制/模拟流程支持电路优化,功能经过增强,可将设计迁移吞吐量提升 3
    的头像 发表于 10-10 16:05 365次阅读

    打通系统到后端,芯华章发布自研数字流程等价性验证工具

    及相关专业人士,业内领先的系统级验证EDA解决方案提供商芯华章,隆重发布 自主研发的数字流程等价性验证系统穹鹏GalaxEC 。 随着
    的头像 发表于 09-19 11:05 294次阅读
    打通系统到后端,芯华章发布<b class='flag-5'>首</b><b class='flag-5'>款</b>自研<b class='flag-5'>数字</b><b class='flag-5'>全</b><b class='flag-5'>流程</b>等价性验证工具

    实现更低功耗的更高吞吐量:Xilinx业界首可配置集成型SD-FEC

    电子发烧友网站提供《实现更低功耗的更高吞吐量:Xilinx业界首可配置集成型SD-FEC.pdf》资料免费下载
    发表于 09-18 09:31 0次下载
    实现更低功耗的更高<b class='flag-5'>吞吐量</b>:Xilinx业界首<b class='flag-5'>款</b>可配置集成型SD-FEC

    如何计算OpenVINO DL Workbench中计算吞吐量或FPS?

    有关在 OpenVINO™ DL Workbench 中计算吞吐量或 FPS 的公式的问题。
    发表于 08-15 08:29