0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Modelsim uvm库编译及执行

454398 来源:AI加速 作者:AI加速 2020-12-01 11:25 次阅读

01. 下载modelsim软件

下载modelsim,这里用的是modelsim10.4版本。链接:https://pan.baidu.com/s/1qSZ_X9UhrII3E2N6-yOsuw

提取码:6aof

完成下载和安装,在安装文件夹中可以看到uvm-1.1d,这是我们使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,这是已经编译过的uvm库。

02. 编写文件

以“hello,world”为例,编写uvm源文件如下:

`timescale 1ns/1ps
include
"uvm_macros.svh"
import uvm_pkg::*;
module hello;
initial begin
`uvm_info("info", "Hello world!!!", UVM_LOW)
end
endmodule

然后编写一个windows下的执行程序:run.bat。

其中只有一句话:

这个就是运行modelsim脚本文件sim.do。

Sim.do文件内容如下:

第一句话是设置uvm环境变量,指定uvm的dpi位置。

第二句话是创建work工作目录。

第三句话是编译源文件,并且通过-L指定几个编译库。

第三句是执行仿真,调用uvm库uvm_dpi。

第四句话是运行多长时间:100ns。

03. 执行

双击run.bat,然后会调用modelsim进行编译和仿真。


编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46911
  • UVM
    UVM
    +关注

    关注

    0

    文章

    181

    浏览量

    19023
收藏 人收藏

    评论

    相关推荐

    如何在ModelSim中添加Xilinx仿真

    文件夹: 8、右键打开modelsim目录下的modelsim.ini文件,先将其“只读”属性去掉。然后用记事本打开。在[Library]下面添加如下代码,即之前编译好的Xilinx
    发表于 07-03 18:16

    ISE 关联 Modelsim 详细操作

    Compilation Wizard”,注意,64位系统中默认安装了64位和32位的ISE,如果想要用32位的ISE,那么就选择32位的编译工具,而且,Modelsim也得安装32位的版本。 打开工具后
    发表于 03-22 18:55

    最实用的Modelsim使用教程

    。选定了器件分配引脚后在做后仿真。 3.3 Modelsim仿真的基本步骤 Modelsim的仿真主要有以下几个步骤:(1)建立并映射到物理目录;(2)
    发表于 03-19 16:40

    uvm1.1升级为uvm1.2 uvm_report_server报错是何原因?

    ISP算法仿真中,小编会用reference model调用DPI接口用C++ 算法实现pixel算法处理,然后和DUT算法处理输出的pixel值进行比较,比较时候发现报错,报错代码如下,原因是小编把uvm1.1升级为uvm1.2了。
    的头像 发表于 03-04 14:18 423次阅读
    <b class='flag-5'>uvm</b>1.1升级为<b class='flag-5'>uvm</b>1.2 <b class='flag-5'>uvm</b>_report_server报错是何原因?

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 803次阅读
    怎样单独使用<b class='flag-5'>modelsim</b>仿真xilinx呢?

    我的第一个UVM代码——Hello world

    以下文章来源于ExASIC ,作者陈锋 你刚开始是怎么学UVM的?白皮书还是红皮书?而我是从hello world实验开始的。 就是这段: (为什么是图片不是文字?就是为了不让你们Ctrl-C
    发表于 11-03 10:18

    vcs和xrun搭配uvm1.1/uvm1.2版本的使用情况

    我们在项目中有时候需要使用不同的UVM版本进行仿真,有时候还会在不同的仿真器之间进行切换,本文简单总结了一下vcs和xrun搭配uvm1.1/uvm1.2版本
    的头像 发表于 10-27 09:41 2238次阅读

    C语言的编译链接过程

    、操作系统的启动代码和用到的文件进行组织形成最终生成可执行代码的过程。 从下图可以看到,整个代码的编译过程分为编译和链接两个过程,编译对应
    的头像 发表于 08-21 10:06 1730次阅读
    C语言的<b class='flag-5'>编译</b>链接过程

    UVM设计中的sequence启动方式有哪几种呢?

    本篇介绍UVM中的sequence,这是UVM中最基础的部分。对于前面介绍的uvm_callback, uvm_visitor等,很少被使用到或者也只有搭建平台的人会使用。
    的头像 发表于 08-17 10:07 1981次阅读
    <b class='flag-5'>UVM</b>设计中的sequence启动方式有哪几种呢?

    行为型设计模式在UVM中的应用

    接下来介绍行为型设计模式在UVM中的应用。
    的头像 发表于 08-09 14:01 465次阅读
    行为型设计模式在<b class='flag-5'>UVM</b>中的应用

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 2706次阅读
    vivado软件和<b class='flag-5'>modelsim</b>软件的安装方法

    一文详解UVM设计模式

    本篇是对UVM设计模式 ( 二 ) 参数化类、静态变量/方法/类、单例模式、UVM_ROOT、工厂模式、UVM_FACTORY[1]中单例模式的补充,分析静态类的使用,UVM中资源池的
    的头像 发表于 08-06 10:38 1101次阅读
    一文详解<b class='flag-5'>UVM</b>设计模式

    动态和静态的制作步骤

    是一种可执行的二进制文件,是编译好的代码。使用可以提高开发效率。在 Linux 下有静态和动态
    的头像 发表于 07-27 11:00 630次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Mo
    的头像 发表于 07-24 09:04 2540次阅读
    Vivado调用<b class='flag-5'>Modelsim</b>仿真

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    ,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑自动打开modelsim软件,自动
    的头像 发表于 07-19 10:10 1264次阅读
    <b class='flag-5'>modelsim</b>自动化仿真实验 利用脚本实现<b class='flag-5'>modelsim</b>自动化仿真