0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅析Xilinx系列FPGA Select IO简介

YCqV_FPGA_EETre 来源:Ingdan FPGA 作者:Jon Zhu 2021-10-28 16:46 次阅读

在SelectIO简介连载一中介绍了其架构,本章会继续介绍如何使用其gearbox功能来实现不同的比率的串并转换功能。

7 Series FPGA中LVDS使用了ISERDESE2,SDR Rate可设为2,3,4,5,6,7,8。DDR Rate可设为4,6,8,10,14。

4960ff8a-37a6-11ec-82a8-dac502259ad0.png

从UG471的Bitslip部分可以看出在SDR和DDR移位的位数不一样。在SDR模式下,一个Bitslip脉冲使数据左移一位;而在DDR模式下,一个Bitslip脉冲使数据右移一位或左移三位。

49d2be18-37a6-11ec-82a8-dac502259ad0.png

4a2a7e50-37a6-11ec-82a8-dac502259ad0.png

所以在某些传输过程中,可以先传预设值,等待接收方调整Idelay和Bitslip解出正确的预设值后再传输有效数据。

对习惯使用7 Series FPGA用户在接触XilinxUltraScale和UltraScale +器件 SelectIO时感觉不习惯,原因XilinxUltraScale和UltraScale +是ISERDESE3和OSERDESE3组件,使用Select IO需要在IP catlog中选择high_speed_selectio IP Configuration interface 在Serialization Factor选项中只有8或者4可以选择。

4ae86bc2-37a6-11ec-82a8-dac502259ad0.png

Pin Selection选择时会发现IO选择会有一定限制,在Sensor的应用中HP bank理想的硬件设计是在同一个bank中连续放置设备I/O,部分Sensor输出的Serialization Factor需要7:1,6:1,5:1,不能直接使用ISERDES。

对这类应用Xilinx 提供了XAPP1315 7:1的参考设计,那么对6:1,5:1这种应用用户需要在参考设计上改哪里,怎样去改?下面我们提供修改方式供参考。

1、从Data Reception看需要把ISERDESE3 输出的8位数据(Serialization Factor=8)通过gearbox模块转成7,6,5位的数据。其中7位的数据XAPP1315已经做过了,这里我们用6:1的数据为例, 需要从ISERDES3实现Read8 bit 数据通过gearbox 转换为6bit数据。

4b739f8a-37a6-11ec-82a8-dac502259ad0.png

2、对于Read 8 to 6 gearbox设计方式:

4bee50ea-37a6-11ec-82a8-dac502259ad0.png

从数据排列可以分析到8 bit数据在每次读取6 bit数据,经过4次后开始循环,我们通过状态机设计gearbox的代码需只需要实现;

4c7e41f0-37a6-11ec-82a8-dac502259ad0.png

// Read 8 to 6 gearbox

//

always @ (posedge px_clk)

begin

case (px_rd_seq )

3‘h0 : begin

px_data 《=px_rd_curr[5:0];

end

3’h1 : begin

px_data 《={px_rd_curr[3:0], px_rd_last[7:6]};

end

3‘h2 : begin

px_data 《={px_rd_curr[1:0], px_rd_last[7:4]};

end

3’h3 : begin

px_data 《={px_rd_last[7:2]};

end

endcase

end

3、Data Transmission,OSERDES3使用4 bit 输入,参考例程是把ISERDES的数据接到OSERDES,这里我们在参考例程上任然使用ISERDE 到OSERDES的数据传送方式验证。分析知道需要一个6 bit 转4 bit数据的 Gearbox.

4cf6339a-37a6-11ec-82a8-dac502259ad0.png

4、Gearbox设计思路是把6 bit的数据按4bit大小去读取直到数据开始循环。

4d6a22b4-37a6-11ec-82a8-dac502259ad0.png

通过表格客户分析出设计代码做3次循环可以满足要求

4de1f6c2-37a6-11ec-82a8-dac502259ad0.png

Read state machine and gear box

//

always @ (posedge tx_clkdiv4)

begin

if(!tx_enable) begin

rd_addr 《= 4‘b0;

rd_state 《= 3’h0;

end else begin

case (rd_state )

3‘h0 : begin

rd_addr 《= rd_addr + 1’b1;

tx_data 《= rd_curr[3:0];

rd_state《= rd_state + 1‘b1;

end

3’h1 : begin

rd_addr 《= rd_addr;

tx_data 《= {rd_curr[1:0], rd_last[5:4]};

rd_state《= rd_state + 1‘b1;

end

3’h2 : begin

rd_addr 《= rd_addr + 1‘b1;

tx_data 《= rd_last[5:2];

rd_state《= 3’h0;

end

endcase

end

end

5、到这来我们已经完成gearbox 模块的设计,实现LVDS Source Synchronous 6:1。在Serialization and Deserialization部分还需要修改输入的数据

//

// Transmit Data Generation

//

always @ (posedge tx_px_clk)

begin

if(tx_px_reset) begin

tx_px_data[ 5:0 ] 《= 6‘h01;

tx_px_data[11:6 ] 《= 6’h02;

tx_px_data[17:12] 《= 6‘h03;

tx_px_data[23:18] 《= 6’h04;

tx_px_data[29:24] 《= 6‘h05;

end

else begin

tx_px_data[ 5:0 ]《= tx_px_data[ 5:0 ]+1’b1;

tx_px_data[11:6 ]《= tx_px_data[11:6 ]+1‘b1;

tx_px_data[17:12]《= tx_px_data[17:12]+1’b1;

tx_px_data[23:18]《= tx_px_data[23:18]+1‘b1;

tx_px_data[29:24]《= tx_px_data[29:24]+1’b1;

end

end

// Receiver 1 - Data checking per pixelclock

//

always @(posedge rx1_px_clk or negedgerx1_px_ready)

begin

rx1_px_last 《= rx1_px_data;

if(!rx1_px_ready) begin

rx1_match 《= 1‘b0;

end

else if ((rx1_px_data[ 5:0 ]==rx1_px_last[ 5:0 ]+1’b1)&&

(rx1_px_data[11:6 ]==rx1_px_last[11:6 ]+1‘b1)&&

(rx1_px_data[17:12]==rx1_px_last[17:12]+1’b1)&&

(rx1_px_data[23:18]==rx1_px_last[23:18]+1‘b1)&&

(rx1_px_data[29:24]==rx1_px_last[29:24]+1’b1)) begin

rx1_match 《= 1‘b1;

end

else begin

rx1_match 《= 1’b0;

end

end

6、对用户的系统可能需要的lane数量为8,在对应的数据部分需要做对应的修改

4e617050-37a6-11ec-82a8-dac502259ad0.png

Receiver使用ISERDESE3在1:8 DDR模式与8:6分布式RAM基于齿轮箱反序列化和对齐输入数据流。这个实现需要三个时钟域,1/2速率采样时钟(rx_clkdiv2), 1/8速率反序列化数据时钟(rx_clkdiv8),和1/6像素时钟(px_clk),它等于Receiversource clock。

Receiver source clock在MMCM或PLL中乘以6或12以满足VCO频率范围,然后除以2生成1/2速率采样时钟(rx_clkdiv2),除以6生成织物像素时钟(px_clk)。

//

// Instantiate PLL or MMCM

//

generate

if (USE_PLL == “FALSE”)begin // use an MMCM

MMCME3_BASE # (

.CLKIN1_PERIOD (CLKIN_PERIOD),

.BANDWIDTH (“OPTIMIZED”),

.CLKFBOUT_MULT_F (6*VCO_MULTIPLIER),

.CLKFBOUT_PHASE (0.0),

.CLKOUT0_DIVIDE_F (2*VCO_MULTIPLIER),

.CLKOUT0_DUTY_CYCLE (0.5),

.CLKOUT0_PHASE (0.0),

.DIVCLK_DIVIDE (1),

.REF_JITTER1 (0.100)

tx_mmcm (

.CLKFBOUT (px_pllmmcm),

.CLKFBOUTB (),

.CLKOUT0 (tx_pllmmcm_div2),

.CLKOUT0B (),

.CLKOUT1 (),

.CLKOUT1B (),

.CLKOUT2 (),

.CLKOUT2B (),

.CLKOUT3 (),

.CLKOUT3B (),

.CLKOUT4 (),

.CLKOUT5 (),

.CLKOUT6 (),

.LOCKED (cmt_locked),

.CLKFBIN (px_clk),

.CLKIN1 (clkin),

.PWRDWN (1‘b0),

.RST (reset)

);

end else begin // Use aPLL

PLLE3_BASE # (

.CLKIN_PERIOD (CLKIN_PERIOD),

.CLKFBOUT_MULT (6*VCO_MULTIPLIER),

.CLKFBOUT_PHASE (0.0),

.CLKOUT0_DIVIDE (2*VCO_MULTIPLIER),

.CLKOUT0_DUTY_CYCLE (0.5),

.REF_JITTER (0.100),

.DIVCLK_DIVIDE (1)

tx_pll (

.CLKFBOUT (px_pllmmcm),

.CLKOUT0 (tx_pllmmcm_div2),

.CLKOUT0B (),

.CLKOUT1 (),

.CLKOUT1B (),

.CLKOUTPHY (),

.LOCKED (cmt_locked),

.CLKFBIN (px_clk),

.CLKIN (clkin),

.CLKOUTPHYEN (1’b0),

.PWRDWN (1‘b0),

.RST (reset)

);

end

7、代码中对应的源语需要升级到ULTRASCALE_PLUS对应的部分

类似的地方:localparam DELAY_VALUE = ((CLKIN_PERIOD*1000)/6 《= 1100.0) ?(CLKIN_PERIOD*1000)/6 : 1100.0;

ULTRASCALE_PLUS maximumvalue for 1100.0

IDELAYE3 SIM_DEVICE(“ULTRASCALE_PLUS”), // Set the device version for simulationfunctionality (ULTRASCALE// ULTRASCALE_PLUS,recommended to re-call IDELAYE3 in the ULTRASCALE_PLUSdirectory

8、所以以模块修完之后通过软件仿真验证修改的数据跟XAPP1315的数据对比,设计中采用parameter DATA_FORMAT = “PER_CLOCK”,数据格式会安装PER_CLOCK方式排列LVDS Source Synchronous 6:1 Serializationand Deserialization Using Clock Multiplication。

4ecad428-37a6-11ec-82a8-dac502259ad0.png

Xapp1315 LVDS Source Synchronous 7:1Serialization and Deserialization Using Clock Multiplication仿真数据:

4fe25692-37a6-11ec-82a8-dac502259ad0.png

综上所述,通过数据比对分析数据没有问题,从而实现此功能。

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1621

    文章

    21522

    浏览量

    599495
  • 数据
    +关注

    关注

    8

    文章

    6725

    浏览量

    88388
  • Xilinx
    +关注

    关注

    70

    文章

    2138

    浏览量

    120496
  • 时钟
    +关注

    关注

    10

    文章

    1685

    浏览量

    131026
收藏 人收藏

    评论

    相关推荐

    FPGA | Xilinx ISE14.7 LVDS应用

    今天给大侠带来 Xilinx ISE14.7 LVDS应用,话不多说,上货。 最近项目需要用到差分信号传输,于是看了一下FPGA上差分信号的使用。Xilinx FPGA中,主要通过
    发表于 06-13 16:28

    Xilinx 7系列FPGA功能特性介绍

    Xilinx7系列FPGA由四个FPGA系列组成,可满足一系列系统需求,从低成本、小尺寸、成本敏
    发表于 04-22 10:49 4050次阅读
    <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>功能特性介绍

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片拥有多个系列和型号,以满足不同应用领域的需求。以下是一些主要的Xilinx FPGA芯片
    的头像 发表于 03-14 16:24 2577次阅读

    AMD Xilinx 7系列FPGA的Multiboot多bit配置

    Multiboot是一种在AMD Xilinx 7系列FPGA上实现双镜像(或多镜像)切换的方案。它允许在FPGA中加载两个不同的配置镜像,并在需要时切换。
    的头像 发表于 02-25 10:54 1028次阅读
    AMD <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>的Multiboot多bit配置

    FPGA设计高级技巧 Xilinx

    FPGA设计高级技巧 Xilinx
    发表于 01-08 22:15

    简述Xilinx 7系列FPGA芯片相关知识

    Xilinx 7系列 芯片 应用非常广泛,具有成本低、性能强悍、成熟稳定的特点,目前Xilinx( AMD )已延长该系列芯片的生命周期至少到2035年。 本文主要介绍
    的头像 发表于 11-28 10:20 939次阅读
    简述<b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相关知识

    简述Xilinx 7系列FPGA芯片相关知识

    Xilinx 7系列芯片应用非常广泛,具有成本低、性能强悍、成熟稳定的特点,目前Xilinx(AMD)已延长该系列芯片的生命周期至少到2035年。
    发表于 11-27 09:26 715次阅读
    简述<b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相关知识

    教你如何区别select、poll、epoll?

    IO多路复用相对于阻塞式和非阻塞式的好处就是它可以监听多个 socket ,并且不会消耗过多资源。当用户进程调用 select 时,它会监听其中所有 socket 直到有一个或多个 socket 数据已经准备好,否则就一直处于阻塞状态。
    的头像 发表于 11-21 15:25 2083次阅读
    教你如何区别<b class='flag-5'>select</b>、poll、epoll?

    select语句的基本语法

    SELECT语句是SQL(Structured Query Language,结构化查询语言)中的一种查询语句,用于从数据库中检索数据。它是数据库操作中最常用和基本的语句之一。在本文中,我将为您详尽
    的头像 发表于 11-17 16:23 1461次阅读

    SELECT语句的基本格式

    SELECT语句是SQL中最基本和最重要的语句之一。它被用于从数据库中检索数据。在本文中,我们将详细介绍SELECT语句的基本格式和各个组成部分。 SELECT语句的基本格式如下: SELEC
    的头像 发表于 11-17 15:10 2394次阅读

    Xilinx FPGA IP之Block Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一个先进的内存构造器,它使用Xilinx fpga中的嵌入式块RAM资源生成面积和 性能优化的内存。
    的头像 发表于 11-14 17:49 2095次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> IP之Block Memory Generator功能概述

    epoll和select使用区别

    编译内核来扩大这个数目,但这似乎并不治本。 一、IO多路复用的select IO多路复用相对于阻塞式和非阻塞式的好处就是它可以监听多个 socket ,并且
    的头像 发表于 11-09 14:14 831次阅读
    epoll和<b class='flag-5'>select</b>使用区别

    罗彻斯特电子携手AMD/Xilinx可持续供应Xilinx传统FPGA产品

    罗彻斯特电子携手AMD/Xilinx,为Xilinx传统FPGA和相关配置PROM产品提供供货支持。
    的头像 发表于 11-07 09:04 446次阅读

    FPGAIO

    可以兼容多种不同的电压标准,也有丰富的IO。 其次,FPGA的功能命名规则。功能命名规则每个厂家都会自己的一套规则,但都大同小异,我们重点来讲述一下xilinx的命名(xilinx
    发表于 11-03 11:08

    多路IO复用模型和异步IO模型介绍

    多路 IO 复用模型 多路 IO 复用,有时也称为事件驱动 IO。它的基本原理就是有个函数会不断地轮询所负责的所有 socket ,当某个 socket有数据到达了,就通知用户进程。IO
    的头像 发表于 10-08 17:21 698次阅读
    多路<b class='flag-5'>IO</b>复用模型和异步<b class='flag-5'>IO</b>模型介绍