0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

升压变换器TPS61391为电容麦克风提供48V超低噪声幻象电源

电子设计 来源:网络整理 作者:佚名 2022-01-11 15:30 次阅读

Other Parts Discussed in Post: TPS7A4101, TPS61391

麦克风是将声音信号转换为电信号的能量转换器件,作为电声系统的输入部分,对于整个系统是非常重要的,决定着最终音质的好坏。

当前主流的麦克风包括动圈式和电容式。动圈式麦克风利用电磁感应现象制成,当声波使膜片振动时,连接在膜片上的线圈随着一起振动,音圈在磁场里振动,其中就产生感应电流(电信号),感应电流的大小和方向都变化,变化的振幅和频率由声波决定,这个信号电流经扩音器放大后传给扬声器,从扬声器中就发出放大的声音;电容式麦克风内有两块金属极板,膜片振动感应音压,引起电压的变化,将声信号转换为电信号。从设计原理不难发现,电容式麦克风将声音直接转换成电信号,更能展现原音,具有宽频带、快速响应、高灵敏度的优点,是专业领域及录音师的首选方案。

电容麦克风的内部电路需要使用幻象电源来驱动,给电容板施加外部偏压,幻象电源可由独立的设备提供,也可以通过声卡或调音台提供,麦克风通过一条线缆接收电力同时发送声音信号,供电无需单独的电缆而是在信号线中,因此称为“幻象”。

本文介绍的是德州仪器推出的48V输出的幻象电源应用。方案由DCDC升压TPS61391和线性稳压TPS7A4101两部分组成,原理图如图2所示。TPS61391是一款700kHz PWM控制升压转换器,可将3.3V或5V的锂电池USB等输入升高到48.5V;TPS7A4101是一款耐压达到50V的LDO,将48.5V稳压到48V并能显著降低输出电压纹波。

该幻象电源的设计方案具有以下优势:

输入3V到5V,适合单节锂电池,USB接口等输入。

48V稳定输出,适合电容式麦克风的供电。

低噪声,低纹波,在5V输入,20mA负载下,最大纹波约4mV如图3所示,,这相当于0.0175%的噪声含量,足以满足严苛的应用要求。

方案尺寸小,成本低:

TPS61391采用3mm × 3mm × 0.75mm的QFN封装,内部集成开关FET。

相比市面其他的方案:例如需外置FET的升压控制器,或者需增加倍压电路的升压变换器,TPS61391无需外置FET或增加倍压电路,整体电路简单。

TPS7A4101采用HVSSOP-8封装且使用的输出电容也较小,占用的电路板空间非常小。

审核编辑:金巧

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电源管理
    +关注

    关注

    113

    文章

    6051

    浏览量

    141858
  • ldo
    ldo
    +关注

    关注

    35

    文章

    1783

    浏览量

    152341
  • 变换器
    +关注

    关注

    17

    文章

    2033

    浏览量

    108666
收藏 人收藏

    评论

    相关推荐

    CYW20829 PDM可以支持麦克风麦克风是否可以支持2.3公里的长距离?

    CYW20829 PDM 可以支持麦克风麦克风是否可以支持 2.3 公里的长距离? 是否有参考设计/应用说明 为什么需要 ULL 和 ACL 这两个功能,因为 ULL 轮询间隔比 ACL 7.5ms 快 1 毫秒。 谢谢!
    发表于 05-23 07:28

    PMP40871.1-48V 输出低噪声幻象电源 PCB layout 设计

    电子发烧友网站提供《PMP40871.1-48V 输出低噪声幻象电源 PCB layout 设计.pdf》资料免费下载
    发表于 05-19 16:46 0次下载
    PMP40871.1-<b class='flag-5'>48</b>V 输出<b class='flag-5'>低噪声</b><b class='flag-5'>幻象</b><b class='flag-5'>电源</b> PCB layout 设计

    低噪声幻像电源如何设计?详细原理图和三种消噪方法拿走不谢

    Q:是否可以利用5V、12V或24V输入生成紧凑的超低噪声幻像电源(48V)?A:可以,需要使用一个简单的升压转换器、一个滤波器电路来降低EMI,通过一个小技巧则可实现小尺寸。专业级
    的头像 发表于 04-29 08:23 207次阅读
    极<b class='flag-5'>低噪声</b>幻像<b class='flag-5'>电源</b>如何设计?详细原理图和三种消噪方法拿走不谢

    集成电流镜的 TPS61391 85VOUT 升压转换器数据表

    电子发烧友网站提供《集成电流镜的 TPS61391 85VOUT 升压转换器数据表.pdf》资料免费下载
    发表于 04-18 11:46 0次下载
    集成电流镜的 <b class='flag-5'>TPS61391</b> 85VOUT <b class='flag-5'>升压</b>转换器数据表

    是否可以把STM32F407VG板子上的麦克风屏蔽了,外接自己的两个PDM麦克风

    请问是否可以把STM32F4DISCOVERY板子上的麦克风屏蔽了,外接自己的两个PDM麦克风
    发表于 04-03 07:30

    用于冗余-48V电源的热插拔电源管理器TPS2350 数据表

    电子发烧友网站提供《用于冗余-48V电源的热插拔电源管理器TPS2350 数据表.pdf》资料免费下载
    发表于 03-15 10:35 0次下载
    用于冗余-<b class='flag-5'>48V</b><b class='flag-5'>电源</b>的热插拔<b class='flag-5'>电源</b>管理器<b class='flag-5'>TPS</b>2350 数据表

    集成电流镜的TPS61391 85VOUT升压转换器数据表

    电子发烧友网站提供《集成电流镜的TPS61391 85VOUT升压转换器数据表.pdf》资料免费下载
    发表于 03-12 10:24 0次下载
    集成电流镜的<b class='flag-5'>TPS61391</b> 85VOUT<b class='flag-5'>升压</b>转换器数据表

    推荐一款同步 DC/DC 变换器-G1264

    G12640.85 V 启动,12uA,同步 DC/DC 变换器 概述: G1264集成 PFM 模式同步升压变换器,只需要一个电感和两个电容
    发表于 12-02 11:42

    f分享G1214D DCDC同步升压变换器

    G1214DDCDC同步升压变换器 简介: G1214D系列产品是一款低功耗高效率、低纹波、工作频率高的PFM控制升压DC-DC变换器。G1214D系列产品仅需要3个外部元器件,即可完
    发表于 11-30 10:35

    麦克风经常啸叫,学会这几招轻松避免

    声反馈的命令,几乎不会对音乐造成任何影响,还会使麦克风拾取的声音变得好听,更适合小白。   3、压限   压限是压缩和限幅的合称,它
    发表于 10-11 10:54

    使用晶体管的驻极体麦克风放大器电路

      该驻极体麦克风放大器电路采用普通电子元件设计。该麦克风放大器电路使用驻极体麦克风极头,但可以是动圈麦克风,其电阻较低。本电路要求电源电压
    发表于 09-08 15:47

    使用LM387制作的动圈麦克风前置放大器电路

      动圈麦克风前置放大器电路可以使用LM387双运算放大器集成电路制成。输入阻抗对应于47k,它几乎完全取决于R1。如果您希望连接具有不同值的动圈麦克风,可以更改电阻R1。动圈麦克风前置放大器放大
    发表于 08-31 18:18

    使用NuMicro M487上的SPI或I2S来捕捉PDM麦克风数据

    PDM 转换为 PCM 通用格式的软件转换 。 BSP 版本: M480系列 BSP CMSIS v3.05.001 硬件: NuMaker-PFM-M487 v3.0 PDM麦克风
    发表于 08-29 07:36

    OP37低噪声麦克风前置放大器电路设计

      本麦克风前置放大器原理图电子电路项目采用ADI公司生产的OP37运算放大器设计,是一款有效的固定增益无变压麦克风前置放大器,可将来自低阻抗麦克风的差分信号放大50dB,输入阻抗
    发表于 08-04 17:49

    低噪声麦克风放大器电路图详解

    这款低噪声麦克风放大器采用PMI生产的MAT02制造。该麦克风放大器性能非常高,噪声水平非常低。放大可以是S20选择的23dB或5.10dB(15倍或1倍)。
    的头像 发表于 07-25 16:39 1586次阅读
    <b class='flag-5'>低噪声</b><b class='flag-5'>麦克风</b>放大器电路图详解