0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 设计输入纪事—RTL 设计输入

C29F_xilinx_inc 来源:赛灵思 作者:赛灵思 2022-02-16 16:21 次阅读

注:本文转自赛灵思中文社区论坛,源文链接在此。本文原作者为XILINX工程师

以下为个人译文,仅供参考,如有疏漏之处,还请不吝赐教。

本篇博文是面向希望学习使用 Vivado 进行 FPGA 设计输入的新手的系列博文第一讲。

这些实践旨在为用户提供快速入门指导,帮助其简要了解工具流程原理。我们选择了一项非常简单的设计,便于读者理解流程中的不同步骤。

这些实践将按如下顺序展示:RTL 流程、基于 IP 的流程、基于 HLS 的流程、基于 IP integrator 的流程,最后是混用前述流程创建设计。

第一项实践是 RTL 流程。 每个步骤都包含截屏,以便用户自行尝试时参考。

步骤如下:

1.调用 Vivado。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

2.用户提供自己选择的工程名称(该工具使用的默认工程名称为 project_1),并提供工程创建路径,然后单击“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

3.默认情况下,该工具会选中“选择 RTL 工程 (Select RTL Project)”,然后单击“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

4. 该工具会提示您选择“Add Sources”以添加源文件。选择“添加文件 (Add Files)”,然后提供此处随附的 RTL 文件 (top.v)。

单击“下一步 (Next)”。

poYBAGIMpuiATdUtAACa5Gb2xmY151.png

以下提供了 RTL 的详细信息

pYYBAGIMpuqAS1aHAADhF-IAkIo838.png

RTL 用于描述自由运行的简单 32 位计数器。当该计数器达到其最大值时,就会翻转触发器。此触发器连接到输出。

这样会使用另一个缓冲器 (IBUFDS) 来创建时钟差分对,其输出将在设计中使用。在下一节中,我们将讲解为何使用此缓冲器。

5. 您将看到“添加约束 (Add Constraints)”对话框,其中包含“添加 (Add Files)”选项。

选择“Add Files”,提供此处随附的 top.xdc,然后单击“Next”。

pYYBAGIMpuuAQJhiAACDA0JtVMw359.png

6.单击开发板选项卡,搜索 zcu102,然后选择此处显示的开发板(Zynq UltraScale+ ZCU102 评估板)。

单击“下一步 (Next)”。

poYBAGIMpu2AVS7pAAEFxM43emY110.png

7.在以下窗口中,单击“完成 (Finish)”。

8.在左侧,您将看到“生成比特流 (Generate Bitstream)”按钮。

单击此按钮。

pYYBAGIMpu-AQ1SbAABD3JCQ8NI025.png

9.这样将显示如下提示:

poYBAGIMpvCACPaQAADukalIHsI021.png

单击“是 (Yes)”。这样将弹出另一条提示,要求您启动运行。单击“OK”。

这样就会触发综合 (Synthesis),然后实现 (Implementation),并且将生成比特流。

实践至此完成。

XDC 文件内容:

XDC 文件包含:

create_clock -name clk_p -period 8 [get_ports clk_p]
set_property LOC G21 [get_ports clk_p]
set_property LOC AG14 [get_ports dout]

set_property IOSTANDARD LVCMOS18 [get_ports dout]
set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports clk_p]

使用 IBUFDS 的原因:

使用 IBUFDS 的原因是因为我们选择的开发板需要差分时钟。

每块开发板都有其自己特定的管脚 LOC 及其支持的系统时钟频率。

此开发板支持 300Mhz 和 125Mhz,因此我们使用 125Mhz 和与之对应的管脚 LOC。输出连接至 LED,其 LOC 为 AG14。最后 2 条语句用于指定端口的 IOSTANDARD。

审核编辑:符乾江

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • RTL
    RTL
    +关注

    关注

    1

    文章

    385

    浏览量

    59720
  • Vivado
    +关注

    关注

    19

    文章

    808

    浏览量

    66367
收藏 人收藏

    评论

    相关推荐

    每次Vivado编译的结果都一样吗

    tool inputs? 对大多数情况来说,Vivado编译的结果是一样的,但要保证下面的输入是一样的: Design sources Constraints Tcl scripts and command
    的头像 发表于 11-11 11:23 212次阅读
    每次<b class='flag-5'>Vivado</b>编译的结果都一样吗

    Vivado使用小技巧

    有时我们对时序约束进行了一些调整,希望能够快速看到对应的时序报告,而又不希望重新布局布线。这时,我们可以打开布线后的dcp,直接在Vivado Tcl Console里输入更新后的时序约束。如果调整
    的头像 发表于 10-24 15:08 246次阅读
    <b class='flag-5'>Vivado</b>使用小技巧

    如何从模拟输入设备切换到数字输入设备

    电子发烧友网站提供《如何从模拟输入设备切换到数字输入设备.pdf》资料免费下载
    发表于 09-26 10:41 0次下载
    如何从模拟<b class='flag-5'>输入</b>设备切换到数字<b class='flag-5'>输入</b>设备

    SinaPos的输入接口

    输入接口包括 19 个不同数据格式的输入。 功能块的初始配置期间,这些输入均设置有初始值。输入接口一览如下:
    发表于 09-02 14:41 0次下载

    差分放大电路同相输入和反相输入怎么看

    差分放大电路是一种具有高输入阻抗、高增益和高抗干扰能力的放大电路,广泛应用于模拟信号处理领域。差分放大电路的输入端有两个,分别是同相输入端和反相输入端。 同相
    的头像 发表于 08-05 09:24 1946次阅读

    如何判断同相输入端和反相输入

    和两个输入端口,即同相输入端(Non-inverting Input)和反相输入端(Inverting Input)。正确区分和判断这两个输入端对于电路的设计、调试和性能优化都至关重要
    的头像 发表于 07-31 10:54 1559次阅读

    单端输入和双端输入的区别是什么

    单端输入和双端输入是电子电路设计中的两种不同的输入方式。它们在电路设计、性能和应用方面存在一些关键的区别 单端输入 单端输入是一种常见的
    的头像 发表于 07-31 10:50 2226次阅读

    差模输入信号的概念、原理及应用

    差模输入信号(Differential Input Signal)是一种信号处理技术,主要用于模拟信号处理领域。它涉及到两个输入信号,这两个输入信号通常被称为差模信号(Differential
    的头像 发表于 07-15 10:29 1010次阅读

    PLC输入设备有哪些

    在工业自动化领域,PLC(可编程逻辑控制器)作为核心控制设备,其输入设备扮演着至关重要的角色。这些输入设备负责将外部信号转化为PLC可以识别的数字信号,从而实现对设备的控制。本文将详细介绍PLC
    的头像 发表于 06-15 11:25 1263次阅读

    详解Vivado非工程模式的精细设计过程

    将设置设计的输出路径,设置设计输出路径的步骤如下所示。 第一步:如图4.3所示,在“Vivado%”提示符后输入命令“set outputDir ./gate_Created_Data/top_output”。
    发表于 04-03 09:34 1475次阅读
    详解<b class='flag-5'>Vivado</b>非工程模式的精细设计过程

    ttl门多余的输入端如何处理 ttl多余的输入端可以悬空吗

    ttl门多余的输入端如何处理 ttl多余的输入端可以悬空吗  TTL门是一种常见的数字逻辑门。TTL门通常具有多个输入端,其中有些输入端在特定的使用情况下可能是多余的。这些多余的
    的头像 发表于 02-18 16:26 2912次阅读

    如何禁止vivado自动生成 bufg

    Vivado中禁止自动生成BUFG(Buffered Clock Gate)可以通过以下步骤实现。 首先,让我们简要了解一下什么是BUFG。BUFG是一个时钟缓冲器,用于缓冲输入时钟信号,使其更稳
    的头像 发表于 01-05 14:31 2032次阅读

    高阻输入和低阻输入的阻抗分别是多少

    高阻输入和低阻输入是指在电子电路中输入端的两种不同电阻特性。高阻输入指的是输入端的电阻较大,而低阻输入
    的头像 发表于 12-25 15:32 3527次阅读

    麦克风单端输入和差分输入优缺点

    麦克风单端输入和差分输入优缺点  麦克风是一种将声音信号转换为电信号的传感器。麦克风输入可以分为单端输入和差分输入两种模式。两种
    的头像 发表于 12-25 11:15 3650次阅读

    plc输入输出的运行原理

    plc输入端24v. 说明plc输入是PNP输入,要知道怎么接线必须知道plc输入输出的运行原理。
    发表于 12-17 09:27 1691次阅读
    plc<b class='flag-5'>输入</b>输出的运行原理