0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA/IC领域术语表

OpenFPGA 来源:OpenFPGA 作者:OpenFPGA 2022-04-13 11:02 次阅读

FPGA/IC领域术语表

Chip Architecture芯片架构

ADC: Analog to Digital Converter 模数转换器

d874c9de-bad4-11ec-aa7f-dac502259ad0.png

AES: Advanced encryption standard 高级加密标准

d8861c70-bad4-11ec-aa7f-dac502259ad0.png

Adder: Circuit to add two numbers 将两个数字相加的电路

d895e5ec-bad4-11ec-aa7f-dac502259ad0.gif

ALU: Arithmetic logic unit 算术逻辑单元

d8adaf56-bad4-11ec-aa7f-dac502259ad0.png

Amdahl's Law: Amdahl's law of diminishing returns for speeding up fixed workloads 阿姆达尔定律-通过优化系统的单个部分获得的整体性能改进受到实际使用改进部分的时间分数的限制

d8ba06ca-bad4-11ec-aa7f-dac502259ad0.png

Arbiter: Arbitrates between competing requesters 仲裁器-在竞争请求者之间进行仲裁

ASIC: Application specific integrated circuit.专用集成电路

Audio codec: Device/program that compresses/decompresses digital audio 音频编解码器-压缩/解压缩数字音频的设备/程序

Boolean algebra: Algebra in which variables are either true or false 布尔代数-变量为真或假的代数

d8dbe556-bad4-11ec-aa7f-dac502259ad0.png

BTB: Branch target buffer 分支目标缓冲区

Cache: Local storage of program and/or data for future use. 程序和/或数据的本地存储以供将来使用。

d8e7849c-bad4-11ec-aa7f-dac502259ad0.png

Cache coherence: Consistency of shared data that is stored in multiple local caches.存储在多个本地缓存中的共享数据的一致性。

d8f5faa4-bad4-11ec-aa7f-dac502259ad0.png

CAM: Content addressable memory 内容可寻址存储器

d9017c8a-bad4-11ec-aa7f-dac502259ad0.png

CISC: Complex instruction set computing 复杂指令集

Coprocessor: A processor used to supplement operations of a primary (host) processor.协处理器-用于补充主处理器操作的处理器。

d90e3880-bad4-11ec-aa7f-dac502259ad0.png

CPI: Cycles per instruction 每条指令的周期

CPU: Central processing unit 中央处理器

CRC: Cyclic redundancy check 循环冗余校验

CSA: Carry save adder 进位保存加法器

DAC: Digital to Analog Converter 数模转换器

d9376b60-bad4-11ec-aa7f-dac502259ad0.png

Distributed Computing: Computer with components working towards common goal with without strict coupling.分布式计算-具有朝着共同目标工作的组件的计算机,没有严格的耦合

d94445c4-bad4-11ec-aa7f-dac502259ad0.png

DLL: Delay locked loop 延迟锁定环(DLL) 是一种类似于锁相环(PLL) 的数字电路

d951ca00-bad4-11ec-aa7f-dac502259ad0.png

DMA: Direct memory access 直接内存访问

DDR Double data rate 双倍数据速率

d95f1ef8-bad4-11ec-aa7f-dac502259ad0.png

DDS: Direct digital synthesis 直接数字合成

d969fda0-bad4-11ec-aa7f-dac502259ad0.png

DSM: Distributed shared memory 分布式共享内存

d976839a-bad4-11ec-aa7f-dac502259ad0.png

DSP: Digital signal processor 数字信号处理器

ECC: Error correcting code 纠错码

Ethernet: Family of standard network technologies 标准网络技术系列

Fault Tolerance: The ability of a system to keep operating in the event of failure of one of its components. 容错-系统在其组件之一发生故障的情况下保持运行的能力。

FRAM: Non-volatile RAM based on ferroelectric layer.基于铁电层的非易失性 RAM。

d99ccd8e-bad4-11ec-aa7f-dac502259ad0.png

FPGA: Field-programmable gate array is a chip that can be reprogrammed "in the field". 现场可编程门阵列

FIFO: First in first out buffer 先进先出缓冲区

d9a90f9a-bad4-11ec-aa7f-dac502259ad0.png

GPU: Integrated circuit for accelerating the creation of graphics on a display. 图形处理单元

d9b4df00-bad4-11ec-aa7f-dac502259ad0.png

DRAM: Dynamic random-access semiconductor memory 动态随机存取半导体存储器

Flash: Non-volatile semiconductor memory 非易失性半导体存储器

FFT: Fast Fourier transform 快速傅里叶变换

d9f1afac-bad4-11ec-aa7f-dac502259ad0.png

FPU: Floating point unit 浮点单元

GPIO: General purpose input output, controllable at run time 通用输入输出,运行时可控

d9feffc2-bad4-11ec-aa7f-dac502259ad0.png

Gray code: Binary system where successive values differ by one bit 格雷码:连续值相差一位的二进制系统

da122908-bad4-11ec-aa7f-dac502259ad0.png

HBM: High bandwidth memory 高带宽内存

da22c894-bad4-11ec-aa7f-dac502259ad0.png

I2C: Multi-master 2 wire bus 多主机 2 线总线

da34407e-bad4-11ec-aa7f-dac502259ad0.png

LAN: Local area network 局域网

da400648-bad4-11ec-aa7f-dac502259ad0.png

LFSR: Linear feedback shift register 线性反馈移位寄存器

da51a344-bad4-11ec-aa7f-dac502259ad0.png

LSB: Least significant bit 最低有效位

[LUT] (https://en.wikipedia.org/wiki/Lookup_table): An array that replaces runtime computation with a simpler array indexing operation 查找表(LUT) 是一个数组,它用更简单的数组索引操作代替运行时计算。

LVDS: Low-voltage differential signaling (also TIA/EIA-644) 低压差分信号(也是 TIA/EIA-644)

da791ad2-bad4-11ec-aa7f-dac502259ad0.png

MII: Media independent interface for PHY chips PHY芯片的媒体独立接口

da861f48-bad4-11ec-aa7f-dac502259ad0.png

MIMD: Multiple instructions multiple data architecture 多指令多数据架构

da903e88-bad4-11ec-aa7f-dac502259ad0.png

MMU: Memory management unit 内存管理单元

da9d62d4-bad4-11ec-aa7f-dac502259ad0.png

MSB: Most significant bit 最高有效位

MUX: Multiplexer 多路复用器

daad46d6-bad4-11ec-aa7f-dac502259ad0.gif

Multiplier: Binary multiplier 二进制乘数

NCO: Numerically controlled oscillator 数控振荡器

dabea35e-bad4-11ec-aa7f-dac502259ad0.png

NOC: Network on a chip 片上网络

dacbe85c-bad4-11ec-aa7f-dac502259ad0.png

Parallel Computing: A type of computation where many operations are carried out simultaneously. 并行计算

PCM: Phase change memory 相变存储器

dad91464-bad4-11ec-aa7f-dac502259ad0.png

PCIe: High Speed serial computer expansion bus 高速串行计算机扩展总线

PIC: Programmable interrupt controller 可编程中断控制器

Priority Encoder: A circuit or algorithm that compresses multiple binary inputs into a smaller number of outputs 优先级编码器-将多个二进制输入压缩成较少数量输出的电路或算法

daff63da-bad4-11ec-aa7f-dac502259ad0.png

PLL: Phase locked loop 锁相环

db0a296e-bad4-11ec-aa7f-dac502259ad0.png

PWM: Pulse width modulation脉冲宽度调制

db163ce0-bad4-11ec-aa7f-dac502259ad0.png

Q: Q fixed point number formatQ 定点数格式

db2166ba-bad4-11ec-aa7f-dac502259ad0.png

RAID: Redundant array of disks 冗余磁盘阵列

Reconfigurable Computing: Collection of customizable datapaths connected together by a fabric 可重构计算-通过结构连接在一起的可定制数据路径的集合

RISC: Reduced instruction set computing 精简指令集计算

ROM: Read only memory (denser than RAM) 只读存储器(比 RAM 更密集)

SBC: Single board computers 单板计算机

SDR: Software defined radio 软件定义无线电

db817a1e-bad4-11ec-aa7f-dac502259ad0.png

SERDES: Serializer/deserializer 串行器/解串器

db8e4104-bad4-11ec-aa7f-dac502259ad0.png

Shift Register: Set of registers that shifts bits one position at a time 一次移位一个位置的一组寄存器

db9bc0c2-bad4-11ec-aa7f-dac502259ad0.png

SIMD: Single instruction multiple data 单指令多数据

dbabcfa8-bad4-11ec-aa7f-dac502259ad0.png

Schmitt Trigger: Comparator circuit with hysteresis 施密特触发器-具有迟滞的比较器电路

dbb9821a-bad4-11ec-aa7f-dac502259ad0.png

SPI: Synchronous 4 wire master/slave interface 同步4线主/从接口

dbc41afe-bad4-11ec-aa7f-dac502259ad0.png

SRAM: Static random access semiconductor memory 静态随机存取半导体存储器

dbd0b89a-bad4-11ec-aa7f-dac502259ad0.png

TLB: Translation lookaside buffer 翻译后备缓冲区

dbdcbec4-bad4-11ec-aa7f-dac502259ad0.png

UART: Asynchronous 2 wire point to point interface 异步2线点对点接口

dbe81274-bad4-11ec-aa7f-dac502259ad0.png

USB: 2 wire point to point 5 V interface 2线点对点5V接口

dbf4ccb2-bad4-11ec-aa7f-dac502259ad0.png

Video codec: Device/program that compresses/decompresses digital video 视频编解码器-压缩/解压缩数字视频的设备/程序

Virtual Memory: The automatic mapping of virtual program addresses to physical addresses 虚拟内存-虚拟程序地址到物理地址的自动映射

dc03041c-bad4-11ec-aa7f-dac502259ad0.png

VLIW: Very long instruction level parallelism 长的指令级并行性

WAN: Wide area network 广域网

WIFI: Wireless local area network 无线局域网

dc28ea56-bad4-11ec-aa7f-dac502259ad0.png

8b10b: Code that maps 8-bits to 10bit DC balanced symbols 将 8 位映射到 10 位 DC 平衡符号的代码

Chip Design芯片设计

Antenna effect: Plasma induced gate oxide damage that can occur during semiconductor processing. 天线效应-在半导体加工过程中可能发生的等离子引起的栅极氧化物损坏。

dc3cca4e-bad4-11ec-aa7f-dac502259ad0.png

Asynchronous logic: Logic not governed by a clock circuit or global clock. 异步逻辑-不受时钟电路或全局时钟控制的逻辑。

dc4a8f9e-bad4-11ec-aa7f-dac502259ad0.png

ATPG: Automatic test pattern generation 自动测试模式生成

BIST: Built in Self Test 内置自检

Chip: A set of electronic circuits on one small plate ("chip") of semiconductor material, normally silicon. 芯片

Clock domain crossing: Traversal of signal in synchronous digital ssytem from one clock domain to another.时钟域交叉-同步数字系统中的信号从一个时钟域到另一个时钟域的遍历。

Clock gating: Technique whereby clock in synchronous logic is shut off when idle.门控时钟-同步逻辑中的时钟在空闲时关闭的技术。

dc6e8048-bad4-11ec-aa7f-dac502259ad0.png

CMOS: Complimentary metal-oxide semiconductor 互补金属氧化物半导体

dc7d8e26-bad4-11ec-aa7f-dac502259ad0.png

Cross talk: The coupling of nearby signals on a chip, usually through capacitive coupling. 串扰

dc8d9f8c-bad4-11ec-aa7f-dac502259ad0.png

CTS: Clock tree synthesis 时钟树合成

Domino logic: Fast clocked logic with reduced capacitive load 具有减少容性负载的快速时钟逻辑

DEF: Design Exchange Format for layout 布局的设计交换格式

DFM: Extended DRC rules specifying how to make a high yielding design. 扩展的 DRC 规则,指定如何进行高产量设计。

DFT: Design for test可测试性设计或可测试性设计

Die: Small block of semiconductor material that can be cut ("diced") from a silicon wafer.可以从硅晶片上切割(“切块”)的小块半导体材料

DRC: Design Rule Constraints specifying manufacturing constraints. 指定制造约束的设计规则约束

dcb516c0-bad4-11ec-aa7f-dac502259ad0.png

DV: Design verification is the process of verifying that the logic design conforms to specification. 设计验证是验证逻辑设计是否符合规范的过程

ECO: Engineering change order 工程变更单

EDA: Electronic Design Automation tools used to enhance chip design productivity. 用于提高芯片设计生产力的电子设计自动化工具

dcc11600-bad4-11ec-aa7f-dac502259ad0.png

EDA companies: List of EDA companies EDA 公司

Electromigration: Transport of material caused by the gradual movement of the ions in a conductor. 由导体中的离子逐渐运动引起的物质传输。

dcd5a9c6-bad4-11ec-aa7f-dac502259ad0.png

EMI: Electromagnetic interference. 电磁干扰

ESD: Electrostatic discharge is the sudden flow of electricity between two electrically charged objects. 静电放电是两个带电物体之间的突然电流流动

Fabless: The design and sale of semiconductor devices while outsourcing the manufacturing to 3rd party. 半导体设备的设计和销售,同时将制造外包给第三方-无晶圆制造硬件设备和半导体芯片的设计和销售,同时将其制造(或晶圆厂)外包给称为半导体代工厂的专业制造商.

FEOL: Front end of line processing. Includes all chip processing up to but not including metal interconnect layers. 生产线前端处理。包括所有芯片处理,但不包括金属互连层。

dd0e25d0-bad4-11ec-aa7f-dac502259ad0.png

Flip-flop: A clocked circuit that has two stable states and can be used to store state information. 触发器

Foundry: Semiconductor company offering manufacturing services. 提供制造服务的半导体公司

Full custom design: Design methodology involving layout and interconnection of individual transistors. 完全定制设计-涉及各个晶体管的布局和互连的设计方法

GDSII: Binary format of design database sent to foundry. 发送制造厂的设计数据库的二进制格式

HDL: Specialized hardware description lanaguage for describing electronic circuits. 用于描述电子电路的专用硬件描述语言

dd29e9c8-bad4-11ec-aa7f-dac502259ad0.png

Hold time: Minimum time synchronous input should hold steady after clock event. 时钟事件后同步输入应保持稳定的最短时间

dd357fc2-bad4-11ec-aa7f-dac502259ad0.png

IP: Semiconductor reusable design blocks containing author's Intellectual Property. 含作者知识产权的半导体可重复使用设计块

IP Vendors: List of commercial semiconductor IP vendors. IP 供应商

ISI: Intersymbol interference 符号间干扰( ISI ) 是一种信号失真形式,其中一个符号会干扰后续符号

dd446988-bad4-11ec-aa7f-dac502259ad0.png

Jitter: Deviation from perfect periodicity. 偏离完美的周期性

dd53d92c-bad4-11ec-aa7f-dac502259ad0.png

Latchup: Short circuit due to creation of a low-impedance path between the power supply rails of a circuit. 闩锁-由于在电路的电源轨之间创建低阻抗路径而引起的短路

dd6114b6-bad4-11ec-aa7f-dac502259ad0.png

Layout: Physical representation of an integrated circuit. 布局-集成电路的物理表示

LEF: Standard Cell Library Exchange Format layout.标准单元库交换格式布局。

Logical Effort: Technique used to normalize (and optimize) digital circuits speed paths. Logical Effort是Ivan Sutherland和Bob Sproull在 1991 年创造的一个术语,是一种用于估计CMOS电路延迟的简单技术

LVS: Layout Versus Schematic software checks that the layout is identical to the netlist.LVS : Layout Versus Schematic 软件检查布局是否与网表相同。

Mask Works: Copyright law dedicated to 2D and 3D integrated circuit "layouts". “掩模工作”-专门针对 2D 和 3D 集成电路“布局”的版权法。

Mealy machine: A finite state machine whose outputs depend on current state and the current inputs. 一种有限状态机,其输出取决于当前状态和当前输入。

Metastability: Ability of a digital electronic system to persist for an unbounded time in an unstable equilibrium. 数字电子系统在不稳定平衡中持续无限时间的能力。

dd84d9e6-bad4-11ec-aa7f-dac502259ad0.png

MLS: Packaging and handling precautions for some semiconductors. 湿气敏感度级别与某些半导体的包装和处理注意事项有关。MSL 是针对湿度敏感设备可暴露于室内环境条件(1 级为 30 °C/85%RH;所有其他级别为 30 °C/60%RH)的时间段 的电子标准。

dd904dee-bad4-11ec-aa7f-dac502259ad0.png

Moore Machine: Finite state machine whose outputs depend only on its current state.Moore型有限状态机,其输出仅取决于其当前状态。

dd9bdbfa-bad4-11ec-aa7f-dac502259ad0.png

Moore's Law: Observation by Moore that the number of transistors in an IC doubles approximately every two years. 摩尔定律-摩尔观察到,IC 中的晶体管数量大约每两年翻一番。

ddb2351c-bad4-11ec-aa7f-dac502259ad0.png

MOSFET: Metal oxide field effect transistor. 金属氧化物场效应晶体管。

ddc49978-bad4-11ec-aa7f-dac502259ad0.png

MOSIS: Foundry service project offering MPWs and low volume manufacturing. 提供MPW和小批量制造的铸造服务项目。

MPW: Multi-project wafer service that integrates multiple designs on one reticle (aka "shuttle"). 多项目芯片( MPC ) 和多项目晶圆( MPW ) 半导体制造安排允许客户在多个设计或项目之间共享掩模和微电子 晶圆制造成本

MTBF: Mean time between failures. 平均故障间隔时间。

Multi-threshold CMOS: CMOS technology with multiple transistor types with different threshold voltages. 多阈值 CMOS:具有不同阈值电压的多种晶体管类型的 CMOS 技术。

Optical proximity correction: Technique used to compensate for semiconductor diffraction/process effects. 光学邻近校正-用于补偿半导体衍射/工艺效应的技术。

ddfe3eda-bad4-11ec-aa7f-dac502259ad0.png

Pass Transistor Logic: Logic that connects input to non-gate terminal of mosfet transistor. 将输入连接到mosfet晶体管的非栅极端子的逻辑

dbd0b89a-bad4-11ec-aa7f-dac502259ad0.png

Physical design: Physical design flow ("layout").物理设计流程(“布局”)。

de15b8f8-bad4-11ec-aa7f-dac502259ad0.png

PDK: Process design kits consisting of a minimum set of files needed to design in a specific process. 流程设计工具包,包含在特定流程中进行设计所需的最少文件集

Power gating: Technique used to reduce leakage/standby power by shutting of the supply to the circuit. 电源门控:用于通过关闭电路电源来减少泄漏/待机功率的技术

P&R: Automated Place and Route of a circuit using an EDA tool. 使用 EDA 工具自动布局和布线电路

PVT Corners: Represents the extreme process, voltage, temperature that could occur in a given semiconductor process. 表示给定半导体工艺中可能出现的极端工艺、电压、温度

Radiation Hardening: Act of making devices resistant to damage caused by ionizing radiation. 辐射硬化是使电子元件和电路能够抵抗由高水平电离辐射(粒子辐射和高能电磁辐射)引起的损坏或故障的过程

RTL: Design abstraction for digital circuit design. 寄存器传输级( RTL ) 是一种设计抽象,它根据硬件寄存器之间的数字信号(数据)流以及对这些信号执行 的逻辑操作对同步 数字电路进行建模

de236b92-bad4-11ec-aa7f-dac502259ad0.png

Setup time: Minimum time synchronous input should be ready before clock event. 建立时间-最小时间同步输入应在时钟事件之前准备好

SEU: Change of state caused by one single ionizing particle (ions, electrons, photons...). 由单个电离粒子(离子、电子、光子......)引起的状态变化

Signoff: The final approval that the design is ready to be sent to foundry for manufacturing. signoff(也写为sign-off )检查是设计在流片之前必须通过的一系列验证步骤的总称

SOC: System On Chip 片上系统

de43a858-bad4-11ec-aa7f-dac502259ad0.png

Spice: Open source analog electronic circuit simulator. 开源模拟电子电路模拟器

STA: Method of computing the expected timing of a digital circuit without requiring full circuit simulation. 静态时序分析(STA)

Standard Cell Design: Design process relying on a fixed set of standard cells. 标准单元设计-设计过程依赖于一组固定的标准单元

Subthreshold Leakage: Current between source and drain in MOSFET when transistor is "off". 亚阈值泄漏-晶体管“关闭”时 MOSFET 源极和漏极之间的电流

de62f67c-bad4-11ec-aa7f-dac502259ad0.png

Synchronous logic: Logic whose state is controlled by a synchronous clock. 同步逻辑

Synthesis: Translation of high level design description (e.g. Verilog) to a netlist format (e.g. standard cell gate level). 综合-将高级设计描述(例如 Verilog)转换为网表格式(例如标准单元门级)

de730314-bad4-11ec-aa7f-dac502259ad0.png

SystemC: Set of C++ classes and macros for simulation. Commonly used for high level modeling and testing. 一组用于模拟的 C++ 类和宏。常用于高级建模和测试

de8072a6-bad4-11ec-aa7f-dac502259ad0.png

Tape-out: Act of sending photomask chip database ("layout") to the manufacturer. 流片:将光掩模芯片数据库(“布局”)发送给制造商的行为。

TCL: Scripting language used by most of the leading EDA chip design tools. 大多数领先的 EDA 芯片设计工具使用的脚本语言。

de8d9b20-bad4-11ec-aa7f-dac502259ad0.png

Transistor: A semiconductor device used to amplify/switch electronic signals. 晶体管

Verilog: The dominant hardware description language (HDL) for chip design. 用于芯片设计的主要硬件描述语言 (HDL)

deae1634-bad4-11ec-aa7f-dac502259ad0.png

VLSI: Very large Integrated Circuit (somewhat outdated term, everything is VLSI today). 大的集成电路(有点过时的术语,今天一切都是 VLSI)

Von Neumann architecture: Computer architecture in which instructions and data are stored in the same memory. 冯诺依曼架构:指令和数据存储在同一内存中的计算机架构。

ded3b8d0-bad4-11ec-aa7f-dac502259ad0.png

Manufacturing制造业

BEOL: Back end of line processing for connecting together devices using metal interconnects. 使用金属互连将设备连接在一起的生产线后端处理。

dee3fa7e-bad4-11ec-aa7f-dac502259ad0.png

Dicing: Act of cutting up wafer into individual dies. 切割-将晶圆切割成单个裸片的行为

def66542-bad4-11ec-aa7f-dac502259ad0.png

FinFet: Non planar, double-gate transistor. 非平面双栅晶体管

df0786d8-bad4-11ec-aa7f-dac502259ad0.png

Photo-lithography: Process used in micro-fabrication to pattern parts of a thin film or the bulk of a substrate. 光刻:用于微制造的工艺,用于对薄膜的部分或基板的主体进行图案化。

df15a81c-bad4-11ec-aa7f-dac502259ad0.png

Photomasks: Opaque plates with holes or transparencies that allow light to shine through in a defined pattern. 光掩模:带有孔或透明胶片的不透明板,可让光线以规定的图案透过。

df59826c-bad4-11ec-aa7f-dac502259ad0.png

Reticle: A set of photomasks used by a stepper to step and print patterns onto a silicon wafer.标线:步进机使用的一组光掩模,用于在硅晶片上步进和打印图案。

Semiconductor Fabrication: Process used to create the integrated circuits. 半导体制造:用于制造集成电路的工艺。

Silicon: Element (Si), forms the basis of the electronic revolution. 硅:元素 (Si),构成电子革命的基础。

Silicon on insulator: Layered silicon–insulator–silicon with reduced parasitic capacitance. 绝缘体上硅:具有降低寄生电容的层状硅-绝缘体-硅。

dfa349a6-bad4-11ec-aa7f-dac502259ad0.png

Stepper: Machine that passes light through reticle onto the silicon wafer being processed. 步进器:将光通过标线板传递到正在处理的硅晶片上的机器。

TSV: Vertical electrical connection (via) passing completely through a silicon wafer or die. 硅通孔( TSV ) 或芯片通孔是完全穿过硅晶片或芯片的垂直电连接(通孔)。

dfaecf60-bad4-11ec-aa7f-dac502259ad0.png

Wafer: Thin slice of semiconductor material used in electronics for the fabrication of integrated circuits. 晶片:用于制造集成电路的电子器件中的半导体材料薄片。

Wafer thinning: Wafer thickness reduction to allow for stacking and high density packaging. 晶圆减薄:晶圆厚度减小以允许堆叠和高密度封装。

Packaging封装

3D IC's: The process of stacking integrated circuits and connecting them through TSVs. 通过堆叠硅晶片或裸片并使用例如硅通孔(TSV) 或 Cu-垂直互连

e0112c96-bad4-11ec-aa7f-dac502259ad0.png

BGA: Ball grid array is a type of surface-mount packaging (a chip carrier) used for integrated circuits. 球栅阵列是一种用于集成电路的表面贴装封装(芯片载体)

BGA substrate: A miniaturized PCB that mates the silicon die to BGA pins. 将硅芯片与 BGA 引脚配对的小型化 PCB

Bumping: Placing of bumps on wafer/dies in preparation for package assembly. 凸块:在晶圆/裸片上放置凸块,为封装组装做准备。

DIMM: Dual in line memory module. 双列直插内存模块。

Flip-chip: Method of bonding a silicon die to package using solder bumps. 使用焊料凸点将硅芯片键合到封装上的方法。

IC Assembly: Semiconductor die is encased in a supporting case "package". IC 组装

Interposer: Electrical interface used to spread a connection to a wider pitch. 用于将连接扩展到更宽间距的电气接口

e0b6136e-bad4-11ec-aa7f-dac502259ad0.png

Heat sink: A passive heat exchanger. 散热器-被动式热交换器。

Heat pipe: Device for efficiently transferring heat between two solid interfaces . 热管-在两个固体界面之间有效传递热量的装置。

KGD: Known Good Die. Dies that have been completely tested at wafer probe. 晶圆测试是半导体器件制造过程中执行的一个步骤。在此步骤中,在将晶圆发送到芯片准备之前执行,晶圆上存在的所有单个集成电路都通过对其应用特殊的测试模式来测试功能缺陷。

Leadframe: Metal structure inside a chip package that carry signals from the die to the outside. 引线框架:芯片封装内的金属结构,可将信号从芯片传送到外部。

POP: Package on Package

e13a47ec-bad4-11ec-aa7f-dac502259ad0.png

SIP: System In Package 系统封装

e14ac158-bad4-11ec-aa7f-dac502259ad0.png

SMT: Technique whereby packaged chips are mounted directly onto the PCB surface. 封装芯片安装在 PCB 表面上的技术。

Through-hole: TPackage pins inserted in drilled holes and soldered on opposite side of the board. 通孔

Wirebond: Method of bonding a silicon die to a package using wires. 使用导线将硅芯片与封装结合的方法

e1887e12-bad4-11ec-aa7f-dac502259ad0.png

WSI: Wafer scale integration 晶圆级集成

Test测试

Arbitrary Waveform Generator: Electronic instrument used to generate arbitrary signal waveforms. 任意波形发生器:用于产生任意信号波形的电子仪器。

ATE: Automatic Test Equipment for testing integrated circuits. 用于测试集成电路的自动测试设备。

Burn-in: Process of screening parts for potential premature life time failures. 老化:筛选零件以发现潜在的过早寿命故障的过程。

e1ce6c1a-bad4-11ec-aa7f-dac502259ad0.png

DIB: Device Interface Board for interfacing DUT to ATE. Also called DUT board, probe card, load board, PIB. 用于将 DUT 连接到 ATE 的设备接口板。也称为 DUT 板、探针卡、负载板、PIB。

DMM: Electronic instrument for measuring voltage, current, and resistance. 用于测量电压、电流和电阻的电子仪器。

DUT: Device under test 被测设备

FIB: Focused ion beam 聚焦离子束

e20b8da2-bad4-11ec-aa7f-dac502259ad0.png

JTAG: Industry standard for verifying and testing/debugging printed circuit boards after manufacturing. 制造后验证和测试/调试印刷电路板的行业标准。

e219e23a-bad4-11ec-aa7f-dac502259ad0.png

Logic Analyzer: Electronic instrument for capturing multiple digital signal from a system. 逻辑分析仪:用于从系统中捕获多个数字信号的电子仪器。

MCM: Multi-chip Module 多芯片模块

Oscilloscope: Electronic instrument for tracking the change of an electrical signal over time. 示波器:跟踪电信号随时间变化的电子仪器。

Probe Card: A direct interface between electronic test systems and a semiconductor wafer. 探针卡:电子测试系统和半导体晶片之间的直接接口。

SEM: Scanning electron microscope 扫描电子显微镜

Shmoo Plot: An ASCII plot of a component response over a range of conditions. Shmoo 图:在一系列条件下组件响应的 ASCII 图。

e2a36a82-bad4-11ec-aa7f-dac502259ad0.png

Spectrum Analyzer: Electronic instrument for measuring the power of the spectrum of an unknown signal. 频谱分析仪:用于测量未知信号频谱功率的电子仪器。

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1622

    文章

    21522

    浏览量

    599628
  • IC
    IC
    +关注

    关注

    36

    文章

    5799

    浏览量

    174594
  • adc
    adc
    +关注

    关注

    97

    文章

    6315

    浏览量

    542813
  • 模数转换器
    +关注

    关注

    26

    文章

    3044

    浏览量

    126627

原文标题:FPGA/IC领域术语表

文章出处:【微信号:Open_FPGA,微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    RS-232术语表和选择指南

    电子发烧友网站提供《RS-232术语表和选择指南.pdf》资料免费下载
    发表于 08-26 09:16 0次下载
    RS-232<b class='flag-5'>术语表</b>和选择指南

    FPGA在自动驾驶领域有哪些优势?

    FPGA(Field-Programmable Gate Array,现场可编程门阵列)在自动驾驶领域具有显著的优势,这些优势使得FPGA成为自动驾驶技术中不可或缺的一部分。以下是FPGA
    发表于 07-29 17:11

    FPGA在自动驾驶领域有哪些应用?

    FPGA(Field-Programmable Gate Array,现场可编程门阵列)在自动驾驶领域具有广泛的应用,其高性能、可配置性、低功耗和低延迟等特点为自动驾驶的实现提供了强有力的支持。以下
    发表于 07-29 17:09

    HarmonyOS NEXT Developer Beta1最新术语表

    A abc文件 方舟字节码(ArkCompiler Bytecode)文件,是ArkCompiler的编译工具链以源代码作为输入编译生成的产物,其文件后缀名为.abc。在发布态,abc文件会被打包到HAP中。 ANS Advanced Notification Service,通知增强服务,是HarmonyOS中负责处理通知的订阅、发布和更新等操作的系统服务。 Atomic Service,元服务 原名原子化服务,是HarmonyOS提供的一种面向未来的服务提供方式,是有独立入口的(用户可通过点击服务卡片打开元服务)、免安装的(无需显式安装,由系统程序框架后台安装后即可使用)用户应用程序形态。 ArkUI 方舟开发框架,是为HarmonyOS平台开发极简、高性能、跨设备应用设计研发的UI开发框架,支撑开发者高效地构建跨设备应用UI界面。。 ArkCompiler 方舟编译器,是华为自研的统一编程平台,包含编译器、工具链、运行时等关键部件,支持高级语言在多种芯片平台的编译与运行,可支撑传统应用、元服务运行在手机、个人电脑、平板、电视、汽车和智能穿戴等多种设备上的需求。 C CES Common Event Service,是HarmonyOS中负责处理公共事件的订阅、发布和退订的系统服务。 Cross-device migration,跨端迁移 是一种实现用户应用程序流转的技术方案。指在A端运行的用户应用程序,迁移到B端上并从迁移时刻A端状态继续运行,然后A端用户应用程序退出。 D DV Device Virtualization,设备虚拟化,通过虚拟化技术可以实现不同设备的能力和资源融合。 E ExtensionAbility Stage模型中的组件类型名,即ExtensionAbility组件,提供特定场景(如卡片、输入法)的扩展能力,满足更多的使用场景。 F FA Feature Ability,在FA模型中代表有界面的Ability,用于与用户进行交互。 FA模型 HarmonyOS早期版本开始支持的应用模型,已经不再主推。建议使用新的Stage模型进行开发。 H HAP Harmony Ability Package,一个HAP文件包含应用的所有内容,由代码、资源、三方库及应用配置文件组成,其文件后缀名为.hap。 HDF Hardware Driver Foundation,硬件驱动框架,用于提供统一外设访问能力和驱动开发、管理框架。 HML HarmonyOS Markup Language,是一套类HTML的标记语言。通过组件、事件构建出页面的内容。页面具备数据绑定、事件绑定、列表渲染、条件渲染等高级能力。 Hop,流转 在HarmonyOS中泛指涉及多端的分布式操作。流转能力打破设备界限,多设备联动,使用户应用程序可分可合、可流转,实现如邮件跨设备编辑、多设备协同健身、多屏游戏等分布式业务。 流转为开发者提供更广的使用场景和更新的产品视角,强化产品优势,实现体验升级。 I IDN Intelligent Distributed Networking,是HarmonyOS特有的分布式组网能力单元。开发者可以通过IDN获取分布式网络内的设备列表和设备状态信息,以及注册分布式网络内设备的在网状态变化信息。 M Manual hop,用户手动流转 是指开发者在用户应用程序中内嵌规范的流转图标,使用户可以手动选择合适的可选设备进行流转。用户点击图标后,会调起系统提供的流转面板。面板中会展示出用户应用程序的信息及可流转的设备,引导用户进行后续的流转操作。 MSDP Mobile Sensing Development Platform,移动感知平台。MSDP子系统提供分布式融合感知能力,借助HarmonyOS分布式能力,汇总融合来自多个设备的多种感知源,从而精确感知用户的空间状态、移动状态、手势、运动健康等多种状态,构建全场景泛在基础感知能力,支撑智慧生活新体验。 Multi-device collaboration,多端协同 是一种实现用户应用程序流转的技术方案。指多端上的不同FA/PA同时运行、或者接替运行实现完整的业务;或者,多端上的相同FA/PA同时运行实现完整的业务。 P PA Particle Ability,在FA模型中代表无界面的Ability,主要为Feature Ability提供支持,例如作为后台服务提供计算能力,或作为数据仓库提供数据访问能力。 S Service widget,服务卡片 将用户应用程序的重要信息以服务卡片的形式展示在桌面,用户可通过快捷手势使用卡片,以达到服务直达、减少层级跳转的目的。 Stage模型 HarmonyOS 3.1 Develper Preview版本开始新增的应用模型,提供UIAbility、ExtensionAbility两大类应用组件。由于该模型还提供了AbilityStage、WindowStage等类作为应用组件和Window窗口的“舞台”,因此称之为Stage模型。 Super virtual device,超级虚拟终端 亦称超级终端,通过分布式技术将多个终端的能力进行整合,存放在一个虚拟的硬件资源池里,根据业务需要统一管理和调度终端能力,来对外提供服务。 System suggested hop,系统推荐流转 是指当用户使用用户应用程序时,所处环境中存在使用体验更优的可选设备,则系统自动为用户推荐该设备,用户可确认是否启动流转。 U UIAbility Stage模型中的组件类型名,即UIAbility组件,包含UI,提供展示UI的能力,主要用于和用户交互。 本文根据HarmonyOS NEXT Developer Beta1官方公开的开发文档整理而成。
    发表于 06-27 16:16

    IC卡水表抄是什么?什么叫IC卡水表抄

    一、什么叫IC卡水表抄IC卡水表抄是一种现代化水资源管理方法,主要是通过集成智能IC卡科技的水表开展计量检定和传送数据。用户在预付模式中
    的头像 发表于 05-25 14:44 435次阅读
    <b class='flag-5'>IC</b>卡水表抄<b class='flag-5'>表</b>是什么?什么叫<b class='flag-5'>IC</b>卡水表抄<b class='flag-5'>表</b>?

    fpga封装技术有哪些应用领域

    总的来说,FPGA封装技术凭借其高性能、灵活性和可靠性,在多个领域发挥着重要作用。随着技术的不断进步和应用需求的增长,FPGA封装技术的应用场景还将继续扩展。
    的头像 发表于 03-26 15:49 458次阅读

    fpga和数字ic区别 fpga和plc区别

    fpga和数字ic区别 FPGA(现场可编程逻辑门阵列)和数字IC(集成电路)在设计、功能、应用等方面存在显著的区别。 FPGA和数字
    的头像 发表于 03-14 18:08 2119次阅读

    适用于ARM Cortex®—A53处理器和 FPGA的集成电源管理IC TPS65220数据

    电子发烧友网站提供《适用于ARM Cortex®—A53处理器和 FPGA的集成电源管理IC TPS65220数据.pdf》资料免费下载
    发表于 03-06 14:56 0次下载
    适用于ARM Cortex®—A53处理器和 <b class='flag-5'>FPGA</b>的集成电源管理<b class='flag-5'>IC</b> TPS65220数据<b class='flag-5'>表</b>

    详解FPGA六大应用领域

    芯片。 这样极大的方便我们 IC 设计人员去验证自己的 IC 设计。 其他,比如电力行业的高速数据采集,医疗行业的高速、大数据量的模拟量采集传输,军工行业的雷达、卫星、制导系统等等都是FPGA的应用
    发表于 01-17 17:03

    物联网领域的关键术语

    物联网领域有许多关键术语和缩写词。我列出了一些常见术语。由于物联网的范围极广,因此我无法在本帖中列出所有术语。请在此列表中添加任何可能对他人有益的
    的头像 发表于 12-28 09:40 609次阅读

    DshanMCU-R128s2术语表

    硬件术语 术语 解释说明 sunxi 指 Allwinner 的一系列 SOC 硬件平台 M33 Star 基于 ARMv8-M 架构 32 位元微处理器单元 C906 平头哥推出的一款
    的头像 发表于 12-25 10:07 489次阅读

    半导体行业术语的解读

    在探讨半导体业界的常用术语前,我们需了解半导体行业是科技领域中最为活跃且技术含量极高的行业之一。它涉及到许多复杂的工艺和理论,因此产生了大量专业术语。以下是一些半导体业界常用的术语,及
    的头像 发表于 12-02 11:18 4117次阅读
    半导体行业<b class='flag-5'>术语</b>的解读

    #FPGA IC设计方向的学习建议

    fpgaIC设计
    明德扬科技
    发布于 :2023年11月28日 09:53:37

    FPGA是啥?太难了~

    array 。通俗来说,是一种功能强大似乎无所不能的器件。通常用于通信、网络、图像处理、工业控制等不同领域的器件。一直强调,逻辑设计不是程序员,因为在设计人员来看,写逻辑只是FPGA开发中最
    发表于 11-03 10:29

    基于FPGA在通讯领域和数据存储的应用

    电子发烧友网站提供《基于FPGA在通讯领域和数据存储的应用.pdf》资料免费下载
    发表于 10-26 11:06 0次下载
    基于<b class='flag-5'>FPGA</b>在通讯<b class='flag-5'>领域</b>和数据存储的应用