0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技和Ansys联合开发的电压时序签核解决方案获三星采用,助力先进低功耗芯片开发

21克888 来源:厂商供稿 作者:新思科技 2022-04-22 15:04 次阅读

三方通过长期合作提供高度集成的技术,确保与芯片实测结果的高度一致性,避免时序故障

加利福尼亚州山景城2022年4月21日/美通社/

摘要:

该联合解决方案基于新思科技的行业金牌PrimeTime签核技术和Ansys 的RedHawk-SC电源完整性签核技术,能够防止动态电压降引起的时序失效并尽可能减小时序悲观性

该解决方案是新思科技和Ansys长期合作的新成果,旨在通过高集成的技术提升设计优化效果

三星晶圆厂(以下简称为"三星")采用该解决方案,证实了时序分析与芯片实测结果具有高度一致性

新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布与Ansys联合开发的电压时序签核解决方案已获三星采用,用以加速开发其具有理想功耗、性能和面积(PPA)的高能效比设计。该联合解决方案集成了包括新思科技PrimeTime®静态时序分析解决方案、新思科技PrimeShield™设计稳定性解决方案、新思科技Tweaker™ ECO收敛解决方案以及Ansys® RedHawk-SC™数字电源完整性签核解决方案在内的黄金签核技术,并提供业界领先的准确性和吞吐量,可节省数周的设计时间。

三星采用该解决方案,成功验证了时序分析与芯片实测结果的高度一致性。三星电子晶圆厂设计技术团队副总裁Sangyun Kim表示:"动态电压降和电源完整性是低功耗设计面临的重大挑战。新思科技联合Ansys开发的全新电压时序签核解决方案显示了与芯片实测结果的高度一致性,在准确评估动态电压降(以下简称为"DVD")对总线-流水线时序路径的影响方面尤其有效。三星计划将该解决方案部署到先进工艺节点的量产设计中,以防止压降导致的芯片时序故障,并尽可能提高设计能效。"

在先进工艺节点下,出现的变异性的可能提升,准确延迟计算难度也在增加,DVD和电源完整性分析变得更具挑战性。另一方面,对于DVD违规的时序的不准确预测会导致分析结果与芯片实际结果产生差异,甚至会引发DVD时序故障一些设计团队利用悲降假设或者设计裕度作为变通方案,但这种方法会导致过度设计、次优能效、PPA损失、以及设计收敛周期拖延。全新的解决方案能够捕捉传统分立流程可能错过的真正设计和芯片时序失效,通过减少DVD和时序的悲观性以避免过度修复。

Ansys副总裁兼电子与半导体事业部总经理John Lee表示:"我们很高兴将与新思科技在加强设计实施方面的长期合作,很拓展到签核领域。通过整合RedHawk-SC电源完整性签核技术和PrimeTime静态时序分析解决方案,Ansys和新思科技为业界提供了先进工艺节点下签核准确性、芯片实测一致性和吞吐量的独特解决方案,以加速产品上市时间并提高设计质量。"

PrimeTime和PrimeShield解决方案首先对DVD敏感的关键路径进行检测,并将数据共享给RedHawk-SC,由此生成关键路径感知定向场景和向量,以执行准确的DVD分析。RedHawk-SC解决方案能够提供高保真度、特定实例的分段线性VDD和VSS波形作为PrimeTime输入,而PrimeTime将采用其先进波形传播引擎,计算出高精度的包含电压降的时序结果。

新思科技芯片实现事业部总经理Shankar Krishnamoorthy表示:"通过与Ansys的紧密合作,我们解决了行业中棘手的时序签核挑战,协助开发者减少迭代,提前数周实现能效和PPA目标。新思科技PrimeTime解决方案已证实与HSPICE仿真时序的误差小于3%,准确性业界领先,而RedHawk-SC基于云的架构能够提供全芯片分析的速度与容量。从早期的集成RedHawk Analysis Fusion与IC Compiler™II布局和布线解决方案和Fusion Compiler™ RTL-to-GDSII解决方案,我们双方的合作不断拓展,持续致力于赋能开发者,满足其严格的电源完整性要求,以实现更优PPA目标。"

点击了解有关PrimeTime静态时序分析、PrimeShield设计鲁棒性和TweakerECO解决方案以及Fusion Design Platform™的更多信息。点击了解有关Ansys RedHawk-SC的更多信息。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    449

    文章

    48562

    浏览量

    413035
  • 三星电子
    +关注

    关注

    34

    文章

    15690

    浏览量

    180358
  • 新思科技
    +关注

    关注

    5

    文章

    736

    浏览量

    50120
收藏 人收藏

    评论

    相关推荐

    思科技面向台积公司先进工艺加速下一代芯片创新

    套件赋能可投产的数字和模拟设计流程能够针对台积公司N3/N3P和N2工艺,助力实现芯片设计成功,并加速模拟设计迁移。 新思科技物理验证解决方案已获得台积公司N3P和N2工艺技术认证,可
    发表于 05-11 11:03 178次阅读
    新<b class='flag-5'>思科</b>技面向台积公司<b class='flag-5'>先进</b>工艺加速下一代<b class='flag-5'>芯片</b>创新

    是德科技联合思科技、Ansys推出了一个全新的集成射频设计迁移流程

    新设计流程在新思科技的定制化设计系列、是德科技电磁仿真平台以及 Ansys 器件合成软件的基础之上,提供了一个高效、集成的射频电路再设计解决方案
    的头像 发表于 05-10 16:33 267次阅读

    罗姆与芯驰科技面向智能座舱联合开发出参考设计“REF66004”

    全球知名半导体制造商罗姆(总部位于日本京都市)与领先的车规芯片企业芯驰科技面向智能座舱联合开发出参考设计“REF66004”。
    的头像 发表于 04-03 14:06 1029次阅读
    罗姆与芯驰科技面向智能座舱<b class='flag-5'>联合开发</b>出参考设计“REF66004”

    罗姆与芯驰科技联合开发出车载SoC参考设计

    配备罗姆的PMIC和SerDes IC等产品,助力智能座舱普及! 全球知名半导体制造商罗姆(总部位于日本京都市)与领先的车规芯片企业芯驰科技面向智能座舱联合开发出参考设计“REF66004
    的头像 发表于 03-28 14:08 299次阅读
    罗姆与芯驰科技<b class='flag-5'>联合开发</b>出车载SoC参考设计

    Ansys和英特尔代工合作开发多物理场签核解决方案

    Ansys携手英特尔代工,共同打造2.5D芯片先进封装技术的多物理场签核解决方案。此次合作,将借助Ansys的高精度仿真技术,为英特尔的创新
    的头像 发表于 03-11 11:24 381次阅读

    Ansys与舍弗勒合作共同实现产品开发流程的数字化和标准化

    Ansys仿真解决方案助力舍弗勒在整个企业内实现产品开发流程的数字化和标准化
    的头像 发表于 02-25 14:01 349次阅读

    思科技将以350亿美元收购Ansys

    思科技(Synopsys)与Ansys两家业界巨头近日宣布,新思科技将以350亿美元的价格收购Ansys。这一并购计划旨在推动两家公司在芯片
    的头像 发表于 01-17 14:53 499次阅读

    思科技收购Ansys,引领芯片系统设计解决方案

     新思科技总裁Sassine Ghazi称,面对系统复杂性与AI、芯片需求大增以及软件定义系统等趋势的挑战,我们共计天下的EDA与Ansys严谨的仿真分析技术将引领从芯片至系统设计的全
    的头像 发表于 01-17 09:14 305次阅读

    思科技携手Ansys三星共同开发14LPU工艺的全新射频集成电路设计

    思科技(Synopsy)近日宣布,携手Ansys三星半导体晶圆代工(以下简称“三星”)共同开发了面向
    的头像 发表于 12-11 18:25 548次阅读

    思科技可互操作工艺设计套件助力开发者快速上手模拟设计

    思科技AI驱动的设计解决方案可实现电路优化,在提高设计质量的同时,节省数周的手动迭代时间 新思科技可互操作工艺设计套件(iPDK)适用于台积公司所有FinFET先进工艺节点的,
    的头像 发表于 11-09 10:59 571次阅读

    Dukosi与苏州恒美电子共建联合开发实验室 加快研发基于电池芯片(Chip-on-Cell)元的电池系统

    股份有限公司(以下简称为“恒美股份”)宣布,在中国苏州的恒美工厂成立联合开发实验室。该实验室将加快研发和采用基于电池芯片(Chip-on-Cell)元的电池系统解决方案,为电动化的未来
    的头像 发表于 11-06 14:33 700次阅读
    Dukosi与苏州恒美电子共建<b class='flag-5'>联合开发</b>实验室 加快研发基于电池<b class='flag-5'>芯片</b>(Chip-on-Cell)元的电池系统

    Dukosi和恒美电子科技在苏州恒美工厂成立联合开发实验室

    Dukosi和苏州恒美电子科技宣布在中国苏州恒美工厂成立联合开发实验室。该实验室将加快电池芯片解决方案开发采用,以实现更可持续的电池系统
    的头像 发表于 10-26 17:59 884次阅读

    思科技提供跨台积公司先进工艺的参考流程,助力加速模拟设计迁移

    设计质量的同时,节省数周的手动迭代时间。 新思科技可互操作工艺设计套件(iPDK)适用于台积公司所有FinFET先进工艺节点,助力开发者快速上手模拟设计。 新
    发表于 10-24 11:41 269次阅读

    低功耗系统在降低功耗的同时保持精度所涉及的时序因素和解决方案

    本文将介绍低功耗系统在降低功耗的同时保持精度所涉及的时序因素和解决方案,以满足测量和监控应用的要求。
    的头像 发表于 07-11 16:16 464次阅读
    <b class='flag-5'>低功耗</b>系统在降<b class='flag-5'>低功耗</b>的同时保持精度所涉及的<b class='flag-5'>时序</b>因素和<b class='flag-5'>解决方案</b>

    低功耗精密信号链应用最重要的时序因素有哪些

    本文将介绍低功耗系统在降低功耗的同时保持精度所涉及的时序因素和解决方案,以满足测量和监控应用的要求。
    的头像 发表于 07-11 16:14 494次阅读
    <b class='flag-5'>低功耗</b>精密信号链应用最重要的<b class='flag-5'>时序</b>因素有哪些