0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技电压时序签核解决方案已获三星采用

科技绿洲 来源:新思科技 作者:新思科技 2022-04-24 15:27 次阅读

新思科技近日宣布与Ansys联合开发的电压时序签核解决方案已获三星采用,用以加速开发其具有理想功耗、性能和面积(PPA)的高能效比设计。该联合解决方案集成了包括新思科技PrimeTime®静态时序分析解决方案、新思科技PrimeShield™设计稳定性解决方案、新思科技Tweaker™ ECO收敛解决方案以及Ansys® RedHawk-SC™数字电源完整性签核解决方案在内的黄金签核技术,并提供业界领先的准确性和吞吐量,可节省数周的设计时间。

三星采用该解决方案,成功验证了时序分析与芯片实测结果的高度一致性。

动态电压降和电源完整性是低功耗设计面临的重大挑战。新思科技联合Ansys开发的全新电压时序签核解决方案显示了与芯片实测结果的高度一致性,在准确评估动态电压降(以下简称为“DVD”)对总线-流水线时序路径的影响方面尤其有效。三星计划将该解决方案部署到先进工艺节点的量产设计中,以防止压降导致的芯片时序故障,并尽可能提高设计能效。

Sangyun Kim

设计技术团队副总裁三星电子晶圆厂

在先进工艺节点下,出现的变异性的可能提升,准确延迟计算难度也在增加,DVD和电源完整性分析变得更具挑战性。另一方面,对于DVD违规的时序的不准确预测会导致分析结果与芯片实际结果产生差异,甚至会引发DVD时序故障一些设计团队利用悲降假设或者设计裕度作为变通方案,但这种方法会导致过度设计、次优能效、PPA损失、以及设计收敛周期拖延。全新的解决方案能够捕捉传统分立流程可能错过的真正设计和芯片时序失效,通过减少DVD和时序的悲观性以避免过度修复。

我们很高兴将与新思科技在加强设计实施方面的长期合作,很拓展到签核领域。通过整合RedHawk-SC电源完整性签核技术和PrimeTime静态时序分析解决方案,Ansys和新思科技为业界提供了先进工艺节点下签核准确性、芯片实测一致性和吞吐量的独特解决方案,以加速产品上市时间并提高设计质量。

John Lee

Ansys副总裁

电子与半导体事业部总经理

PrimeTime和PrimeShield解决方案首先对DVD敏感的关键路径进行检测,并将数据共享给RedHawk-SC,由此生成关键路径感知定向场景和向量,以执行准确的DVD分析。RedHawk-SC解决方案能够提供高保真度、特定实例的分段线性VDD和VSS波形作为PrimeTime输入,而PrimeTime将采用其先进波形传播引擎,计算出高精度的包含电压降的时序结果。

通过与Ansys的紧密合作,我们解决了行业中棘手的时序签核挑战,协助开发者减少迭代,提前数周实现能效和PPA目标。新思科技PrimeTime解决方案已证实与HSPICE仿真时序的误差小于3%,准确性业界领先,而RedHawk-SC基于云的架构能够提供全芯片分析的速度与容量。从早期的集成RedHawk Analysis Fusion与IC Compiler™II布局和布线解决方案和Fusion Compiler™ RTL-to-GDSII解决方案,我们双方的合作不断拓展,持续致力于赋能开发者,满足其严格的电源完整性要求,以实现更优PPA目标。

Shankar Krishnamoorthy

新思科技芯片实现事业部总经理

审核编辑:彭菁
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    449

    文章

    48562

    浏览量

    413035
  • 数字电源
    +关注

    关注

    27

    文章

    479

    浏览量

    109153
  • 新思科技
    +关注

    关注

    5

    文章

    736

    浏览量

    50120
  • 三星
    +关注

    关注

    0

    文章

    1296

    浏览量

    30439
收藏 人收藏

    评论

    相关推荐

    思科技发布PCIe 7.0 IP解决方案,赋能AI与HPC前沿设计

    在全球芯片设计领域,新思科技(Synopsys)再次展现了其技术领先的实力。近日,公司宣布推出业界首款完整的PCIe 7.0 IP解决方案,这一重大创新为芯片制造商在处理计算密集型AI工作负载时提供了前所未有的带宽和延迟优化能力。
    的头像 发表于 06-25 10:12 222次阅读

    思科技推出业界首款PCIe 7.0 IP解决方案

    思科技(Synopsys)近日宣布,推出业界首款完整的PCIe 7.0 IP解决方案,包括控制器、IDE安全模块、PHY和验证IP。该解决方案可以助力芯片制造商满足计算密集型AI工作负载在传输海量
    的头像 发表于 06-25 09:46 157次阅读

    三星手机屏维修技术人员

    想招三星手机屏维修人员,电子专业毕业,有电子产品生产维修经验2年以上,有意向到美国工作的,欢迎留言私信!
    发表于 05-20 10:47

    思科技面向台积公司先进工艺加速下一代芯片创新

    套件赋能可投产的数字和模拟设计流程能够针对台积公司N3/N3P和N2工艺,助力实现芯片设计成功,并加速模拟设计迁移。 新思科技物理验证解决方案已获得台积公司N3P和N2工艺技术认证,可加速全芯片物理签核。 新
    发表于 05-11 11:03 178次阅读
    新<b class='flag-5'>思科</b>技面向台积公司先进工艺加速下一代芯片创新

    思科技为AMBA CHI-G协议量身定制一系列AMBA协议解决方案

    思科技提供了一系列AMBA协议解决方案,用于早期建模、设计、实现、验证、确认和系统成型。
    的头像 发表于 04-30 17:20 386次阅读

    罗彻斯特电子提供一种SiTime时序器件解决方案

    随着设计要求越来越复杂、产品种类越来越繁多,精密时序器件解决方案的应用需求也随之增加。时序器件是众多设计中不可或缺的一部分,客户因此需要可靠且长期的供货支持。
    的头像 发表于 04-16 10:31 157次阅读

    思科技正式推出业界首个1.6T以太网IP整体解决方案

    思科技1.6T以太网IP整体解决方案现已上市并被多家客户用,与现有实现方案相比,其互连功耗最多可降低50%
    的头像 发表于 03-19 10:23 228次阅读

    三星电子在 EUV 曝光技术取得重大进展

    三星电子行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年12月05日 17:16:29

    2023年10月21日芯片价格信息差《三星内存条》#采购#华强北#内存#集成电路#三星内存条#

    内存三星
    深圳市石芯电子有限公司
    发布于 :2023年10月21日 11:14:12

    思科技的软件质量与安全解决方案获得行业认可

      在当今数智化时代,软件已成为各行业的核心竞争力。新思科技致力于为客户提供最优质的软件产品和服务。近期,新思科技的软件质量与安全解决方案获得了包括业内权威机构和客户等多方的赞誉和认可。 新
    的头像 发表于 10-17 10:14 790次阅读

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    思科技经认证的多裸晶芯片系统设计参考流程和安全的Die-to-Die IP解决方案,加速了三星SF 5/4/3工艺和I-Cube及X-Cube技术的设计和流片成功。 新思科技3DIC
    的头像 发表于 09-14 09:38 981次阅读

    思科尔携最新产品和解决方案亮相第24届光博会

    9月第24届中国国际光电博览会在深圳圆满举办。贝思科尔应邀了本次盛会,在为期三天的展会中,贝思科尔准备充分的宣传资料和产品介绍材料,我们向参展观众展示了贝思科尔的最新产品和解决方案,吸
    的头像 发表于 09-12 10:19 823次阅读

    思科技与三星扩大IP合作,加速新兴领域先进SoC设计

    面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112G以太网
    发表于 06-30 13:40 378次阅读