0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx SelectIO IP的GUI参数详细解释

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-06-06 09:46 次阅读

Xilinx SelectIO IP使用说明

引言

雷达信号处理离不开高速ADC/DAC的使用,而高速ADC/DAC的信号处理对时序的要求非常苛刻。Xilinx SelectIO IP的出现满足了大多数芯片对于时序的处理需求,开发者可以高效的完成ADC/DAC驱动设计。

本文主要针对Xilinx SelectIO IP的GUI(图形用户界面),对每个参数进行详细解释,理解其中的内涵,快速完成驱动设计。下文详细讲述各个参数含义,内容上有些枯燥,后续进行FPGA设计实战,理论与实际相结合。

介绍

Xilinx SelectI IP是一个VHDL/Veilog封装文件,根据用户配置生成实例化的I/O逻辑,满足了输入SERDES、输出SERDES和延迟模块的应用要求。另外,它也可以例化生成所需的I/O时钟原语,将它连接到I/O引脚。

特色

支持输入、输出或双向总线,数据总线宽达16位,满足绝大多数器件的设计要求;

创建驱动I/O所需的时钟电路逻辑,分为内部时钟或外部时钟电路逻辑;

可选数据或时钟延迟插入,对数据信号进行同步或数据信号与时钟信号之间进行同步;

支持单、双数据速率,实现信号与数据的相互转换;

支持多种数据总线标准:芯片对芯片、相机接收器、相机发射器、数字视觉接口(DVI)接收接口、发送接口和串行千兆媒体独立接口(SGMII);

产品说明书

SelectIO接口提供了源代码HDL,包括输入、输出或双向总线I/O电路,缓冲区,任何所需的延迟元件,ISERDES和OSERDES、寄存器和I/O时钟驱动的实现。电路设计包含两个主要组件:时钟缓冲和处理,以及数据路径。

9186addc-e52a-11ec-ba43-dac502259ad0.png

SelectIO内部框图

时钟缓冲和处理

SelectIO向导支持对时钟I/O逻辑使用BUFG或BUFIO2。一个带有BUFIO2原语的输入数据如下图所示。可以为输入时钟添加插入延迟。

91bbb2b6-e52a-11ec-ba43-dac502259ad0.png

I/O网络时钟连接

数据路径

SelectIO向导帮助在I/O中实例化和配置组件互连。你可以选择:

使用或绕过延迟功能。

通过使用输入SERDES或输出SERDES来使用串并转换/并串转换功能。

支持双数据速率(DDR)数据。

为单速率数据使用I/O寄存器。

91f1d6f2-e52a-11ec-ba43-dac502259ad0.png

数据流细节

标准

该接口支持下列I/O标准。

单端信号:  HSTL_I, HSTL_II, HSTL_III, HSTL_I_18, HSTL_II_18, HSTL_III_18, HSTL_I_12, LVCMOS33, LVCMOS25, LVCMOS18, LVCMOS15, LVCMOS12, SSTL15SSTL18_I, SSTL18_II

差分信号:  DIFF HSTL I, DIFF HSTL I 18, DIFF HSTL II, DIFF HSTL II 18, DIFFSSTL15, DIFF SSTL18 I, DIFF SSTL18 II, LVDS25, TMDS_33, MINI_LVDS_25, PPDS_25,BLVDS_25、LVDS RSDS_25

92609f7e-e52a-11ec-ba43-dac502259ad0.png

SelectIO单端输入输出电平

92a4172c-e52a-11ec-ba43-dac502259ad0.png

SelectIO差分输入输出电平

更多关于Select IO逻辑资源的详细介绍(比如LOGIC、DELAY、DELAYCTRL、SERDES等),请阅读ug471_7Series_SelectIO.pdf

设计流程

本章描述了定制和生成核、约束核和的仿真、合成和实现步骤。

Data Bus Setup

92de0798-e52a-11ec-ba43-dac502259ad0.png

Data Bus Setup界面

Interface Template

选择向导支持SGMII, DVI接收器,DVI发射器,Camera link接收器数据总线格式,摄像头连接发射器和芯片对芯片接口。SelectIO接口向导仅为上面提到的所有接口配置数据引脚。一般选择Custom或者Chip to Chip来完成高速ADC、DAC芯片或者AD/DA芯片的时序设计。

Data Bus Direction

总线的方向可以选择。选择向导支持输入,输出,双向和单独的I/O总线。单独的输入和输出选项创建独立的输入和输出引脚。开发者根据所用芯片的引脚实际方向进行选择。

Data Rate

如果数据在上升沿触发时,请选择SDR。如果上升沿与下降沿都触发,选择DDR。数据速率的选择影响序列化因子限制。

Serialization Factor

如果选择了序列化因子,将实例化ISERDESE2(串并转换器)和/或OSERDESE2(并串转换器)。所有数据由时间片,然后从右到左连接。例如,假设输出数据总线是8位宽的,序列化因子为4。如果数据在引脚上显示为:00,01、02、03时,呈现给设备的数据将为03020100。如果选择了10或14的序列化因子,那么每个I/O将实例化两个SERDES块因为每个SERDES的最大序列化能力是8:1。当数据速率为SDR时,序列化因子的可能值为2-8。当数据速率为DDR时,序列化因子可设置为4、6、8、10或14。Bitslip对于网络模式总是启用功能。如果不是,则将此引脚绑定到逻辑0。

如果所用ADC/DAC芯片为串行数据输入输出,选择序列化因子,可以方便的实现串并、并串数据之间的转换。

9315d222-e52a-11ec-ba43-dac502259ad0.png

串行数据时序

如果选择了序列化因子,IP自动生成ISERDESE2或者OSERDESE2,IP引脚会多出Bitslip,其用来实现并行数据的边界对齐。比如串行输入的8bit的数据,经过ISERDESE2后,得到8bit的并行数据,但这并行数据可能存在前后8bit数据之间的错位,也即无法正确判断最高位、最低位, Bitslip就是用来找到并行数据的边界。

下图展示了Bitslip是如何确定并行数据的边界:对于SDR模式,Bitslip使能1次,则数据会左移1次,对于8bit并行数据,移动8次完成一个循环,可以这样无止境的循环。对于DDR模式,Bitslip工作方式不同,Bitslip使能1次,数据会右移1次或者左移3次,两者交替进行,同样移动8次完成一个循环。

936ae6ae-e52a-11ec-ba43-dac502259ad0.png

不同模式Bitslip操作

External Data Width

芯片的并行输入、输出引脚的数目,比如:并行16位ADC芯片,数据宽度填写16。

I/O Signaling

所有的I/O信号标准都显示为所选择的I/O信号类型。根据实际外部芯片ADC/DAC引脚的实际信号类型进行设置。

Input DDR Data Alignment

OPPOSITE_EDGE

上升沿触发的数据通过输出端Q1呈现,下降沿触发的数据通过输出端Q2呈现。

939cc94e-e52a-11ec-ba43-dac502259ad0.png

OPPOSITE_EDGE模式

SAME_EDGE

在时序图中,同一时刻输出对Q1和Q2不再是D0A和D1A,而是第一对呈现的是一对D0A和(不关心),然后下一个时钟输出一对D2A和D1A。

93c766e0-e52a-11ec-ba43-dac502259ad0.png

SAME_EDGE模式

SAME_EDGE_PIPELINED

输出对Q1和Q2在同一时刻输出。

93ef4250-e52a-11ec-ba43-dac502259ad0.png

SAME_EDGE_PIPELINED模式

Clock Setup

941b79b0-e52a-11ec-ba43-dac502259ad0.png

Clock Setup界面

External Clock

如果在输出数据路径上设置了任何延迟,则将同样的延迟分配给时钟,使数据和时钟保持同步。

Internal Clock

如果你的时钟来自时钟输出模块,你会想要选择内部时钟,但是需要确保实例化一个MMCM来驱动时钟。

Clocking Signaling

您可以为输入时钟指定信令类型和标准。I / O信号标准将嵌入所提供的HDL源代码。

Data And Clock Delay

945cea94-e52a-11ec-ba43-dac502259ad0.png

Data And Clock Delay界面

Delay Type

FIXED

在固定延迟模式下,延迟值由属性IDELAY_VALUE确定。一旦设置,该值不能为改变了。在此模式下使用时,必须实例化IDELAYCTRL原语。

VARIABLE

在可变延迟模式下,延迟值可以通过控制信号CE和INC配置。在此模式下使用,IDELAYCTRL原语必须实例化。

948f3a12-e52a-11ec-ba43-dac502259ad0.png

VARIABLE模式延时控制

VAR_LOAD

IDELAY tap可以通过5输入位CNTVALUEIN[4:0]设置。当LD脉冲时,CNTVALUEIN[4:0]的值将是新值。作为这个功能的结果,IDELAY_VALUE属性是忽略了。在此模式下使用时,必须实例化IDELAYCTRL原语。

94bb5de0-e52a-11ec-ba43-dac502259ad0.png

VAR_LOAD模式延时控制

Include DELAYCTRL

只适用于固定/可变的延迟。如果选中,则包含IODELAYCTRL在设计中实例化。

Include Global Buffer

如果选中,则在设计中实例化BUFG。当未选择包含DELAYCTRL时,没有启用BUFG以供选择。

Enable DELAY High Performance

如果启用,则设置IDELAY块的HIGH_PERFORMANCE_MODE属性为true,否则设置值为false。

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2130

    浏览量

    119786
  • 参数
    +关注

    关注

    11

    文章

    1459

    浏览量

    31694
  • GUI
    GUI
    +关注

    关注

    3

    文章

    619

    浏览量

    39054

原文标题:Xilinx SelectIO IP使用说明

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA | Xilinx ISE14.7 LVDS应用

    。 同时,Xilinx器件内部信号内部还提供了100欧姆电阻匹配,可参考Spartan-6 FPGA SelectIO Resources(UG381) 补充: 若要实现高速通信的场合,可以
    发表于 06-13 16:28

    Xilinx SelectIO资源内部的IDELAYE2应用介绍

    本文我们介绍下Xilinx SelectIO资源内部IDELAYE2资源应用。IDELAYE2原句配合IDELAYCTRL原句主要用于在信号通过引脚进入芯片内部之前,进行延时调节,一般高速端口信号由于走线延时等原因,需要通过IDELAYE2原语对数据做微调,实现时钟与数据
    的头像 发表于 04-26 11:33 667次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>SelectIO</b>资源内部的IDELAYE2应用介绍

    python如何打开gui界面

    在Python中打开GUI界面,可以使用多种库或框架,如Tkinter、PyQt、wxPython等。下面将详细介绍如何使用Tkinter创建一个GUI界面。在写文章时,建议参考以下提纲,将文章分成
    的头像 发表于 11-22 14:12 638次阅读

    FPGA新IP核学习的正确打开方式

    步骤,您可以更好地理解和使用XilinxIP核。 二、其它方式 可以通过百度或google搜索这个IP的相关博客,看看网上大牛们是怎么用和理解这个IP的,一般都会有
    发表于 11-17 11:09

    linux配置网卡的ip及相关网络参数

    在Linux系统中,配置网络参数包括配置网卡的IP地址、子网掩码、网关、DNS等。本文将以详尽、详实、细致的方式介绍Linux系统中配置网卡的IP及相关网络参数的方法。 在Linux系
    的头像 发表于 11-17 10:55 1057次阅读

    Xilinx FPGA IP之Block Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一个先进的内存构造器,它使用Xilinx fpga中的嵌入式块RAM资源生成面积和 性能优化的内存。
    的头像 发表于 11-14 17:49 1399次阅读
    <b class='flag-5'>Xilinx</b> FPGA <b class='flag-5'>IP</b>之Block Memory Generator功能概述

    有关MOST总线的详细解释

    MOST总线(Media Oriented Systems Transport)是一种用于车载多媒体系统的通信标准,旨在提供高质量音频和视频传输。以下是有关MOST总线的详细解释
    的头像 发表于 10-28 09:22 2925次阅读

    Xilinx LogiCORE IP视频定时控制器内核简介

    Xilinx LogiCORE IP视频定时控制器内核是一款通用视频定时生成器和检测器。该内核可通过完整的寄存器集进行高度编程,从而控制各种定时生成参数。这种可编程性与一组全面的中断位相结合,可轻松集成到处理器系统中,实现对模块
    的头像 发表于 10-16 11:06 383次阅读
    <b class='flag-5'>Xilinx</b> LogiCORE <b class='flag-5'>IP</b>视频定时控制器内核简介

    运放参数详细解释

    在精密电路设计中,偏置电压是一个关键因素。对于那些经常被忽视的参数,诸如随温度而变化的偏置电压漂移和电压噪声等,也必须测定。精确的放大器要求偏置电压的漂移小于200μV和输入电压噪声低于6nV/√Hz。随温度变化的偏置电压漂移要求小于1μV/℃ 。
    发表于 09-08 10:15 548次阅读

    XILINX FPGA IP之FIFO Generator例化仿真

    上文XILINX FPGA IP之FIFO对XILINX FIFO Generator IP的特性和内部处理流程进行了简要的说明,本文通过实际例子对该
    的头像 发表于 09-07 18:31 1179次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之FIFO Generator例化仿真

    XILINX FPGA IP之DDS Compiler_ip例化仿真

    之前的文章对dds ip 的结构、精度、参数、接口进行了详细的说明,本文通过例化仿真对该IP的实际使用进行演示。本文例化固定模式和可配置模式两种模式分别例化
    的头像 发表于 09-07 18:31 1288次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之DDS Compiler_<b class='flag-5'>ip</b>例化仿真

    基于Xilinx FPGA AXI-EMC IP的EMIF通信测试

    外部存储器接口( EMIF )通信常用于FPGA和DSP之间的数据传输,即将FPGA作为DSP的外部SRAM、或者协同处理器等。Xilinx提供了AXI-EMC IP核,将其挂载到AXI总线用于
    的头像 发表于 08-31 11:25 3953次阅读
    基于<b class='flag-5'>Xilinx</b> FPGA AXI-EMC <b class='flag-5'>IP</b>的EMIF通信测试

    Xilinx Vivado DDS IP使用方法

    DDS(Direct Digital Frequency Synthesizer) 直接数字频率合成器,本文主要介绍如何调用Xilinx的DDS IP核生成某一频率的Sin和Cos信号。
    的头像 发表于 07-24 11:23 3140次阅读
    <b class='flag-5'>Xilinx</b> Vivado DDS <b class='flag-5'>IP</b>使用方法

    Xilinx FFT IP核到FPGA实现OFDM

    笔者在校的科研任务,需要用FPGA搭建OFDM通信系统,而OFDM的核心即是IFFT和FFT运算,因此本文通过Xilinx FFT IP核的使用总结给大家开个头,详细内容可查看官方文档PG109。
    的头像 发表于 07-10 10:43 954次阅读
    从<b class='flag-5'>Xilinx</b> FFT <b class='flag-5'>IP</b>核到FPGA实现OFDM

    关于HLS IP无法编译解决方案

    Xilinx平台的Vivado HLS 和 Vitis HLS 使用的 export_ip 命令会无法导出 IP
    的头像 发表于 07-07 14:14 473次阅读
    关于HLS <b class='flag-5'>IP</b>无法编译解决方案