0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence数字和定制 / 模拟设计流程获得N4P工艺认证

科技绿洲 来源:Cadence楷登 作者:Cadence楷登 2022-06-17 17:33 次阅读

楷登电子(美国 Cadence 公司NASDAQ:CDNS)今日宣布,其数字和定制 / 模拟设计流程已获得 TSMC N3E 和 N4P 工艺认证,支持最新的设计规则手册(DRM)。此外,Cadence 和 TSMC 交付了 N3E 和 N4P 制程设计套件(PDK)和设计流程,以加速客户采用,并推动移动、人工智能和超大规模计算设计创新。双方的共同客户正在积极使用新的 N3E 和 N4P PDK 进行设计,一些测试芯片已经成功流片,有力证明了 Cadence 解决方案可以帮助客户提高工程效率,最大限度地发挥 TSMC 最新工艺技术提供的功耗、性能和面积(PPA)优势。

Cadence 的数字和定制 / 模拟先进节点解决方案支持公司的智能系统设计™(Intelligent System Design™)战略,旨在实现系统级芯片(SoC)的卓越设计。

N3E 和 N4P 工艺的数字全流程认证

Cadence 与 TSMC 密切合作,确保其面向 TSMC 先进 N3E 和 N4P 工艺技术的数字全流程经过优化。完整的 RTL-to-GDS 流程包括 Cadence Innovus™ Implementation System、Quantus™ Extraction Solution、Quantus Field Solver、Tempus™ Timing Signoff Solution 及 ECO Option、Pegasus™ Verification System、Liberate™ Characterization Solution 和 Voltus™ IC Power Integrity Solution。此外,Cadence Genus™ Synthesis Solution 和预测性的 iSpatial technology 也支持 TSMC N3E 和 N4P 工艺技术。

数字全流程提供了支持 TSMC N3E 和 N4P 工艺技术的几个关键能力,包括实现和签核结果之间的相关性;增强的对通孔支柱(via pillar)支持;有效处理包含很多多高度、多电压阈值(VT)和驱动强度单元的大型标准单元库;低电压单元表征和经过认证的签核时序准确度;以及通过 Quantus Extraction Solution 和 Quantus Field Solver 实现经过认证的提取准确度。

N3E 和 N4P 定制 / 模拟流程认证

Cadence Virtuoso® Design Platform(包括 Virtuoso Schematic Editor、Virtuoso ADE Product Suite 和 Virtuoso Layout Suite EXL)、Spectre® Simulation Platform(包括 Spectre X Simulator、Spectre Accelerated Parallel Simulator(APS)、Spectre eXtensive Partitioning Simulator(XPS)和 Spectre RF Option)以及 Virtuoso Application Library Environment 和 Voltus-Fi Custom Power Integrity Solution 已获得 TSMC N3E 和 N4P 工艺最新认证。Virtuoso Design Platform 的一个独特之处在于可与 Innovus Implementation System 紧密集成,通过使用一个共同的数据库增强了混合信号设计的实现方法学。Virtuoso Application Library Environment 中的 Virtuoso Schematic Editor 的迁移模块已被 TSMC 成功集成并通过验证。

Virtuoso Schematic Editor、Virtuoso ADE Suite 和集成的 Spectre X Simulator 已经针对定制设计参考流程(CDRF)进行了优化,用于管理工艺角仿真、统计分析、设计中心化和电路优化。此外,CDRF 的 Virtuoso Layout Suite EXL 也针对高效布局实现进行了加强,为客户提供多项功能,包括一个独特的基于行的实现方法学,它在放置、布线、填充和插入 dummy 上具有交互和辅助功能;加强的模拟迁移和布局重用功能;集成的寄生参数提取和 EM-IR 检查以及集成的物理验证功能。

“通过我们最近与 Cadence 的合作,客户可以轻松从我们最新 N3E 和 N4P 工艺技术的功耗和性能大幅改善中受益,以推动设计创新。”TSMC 设计基础设施管理部副总裁 Suk Lee 说,“我们的客户必须以极快的速度完成设计开发,以跟上市场需求,设计流程的认证让客户充满信心,他们相信可以利用我们的技术实现设计目标,更快地将产品推向市场。”

“我们的数字和定制 / 模拟流程具有丰富的功能,使我们的客户在创建 N3E 和 N4P 设计时能够实现最佳的 PPA 结果,同时提高工程生产率。”Cadence 公司资深副总裁兼数字和签核事业部总经理 Chin-Chi Teng 博士表示,“通过与 TSMC 的密切合作,我们正在帮助客户在移动、人工智能和超大规模等各种细分市场实现卓越的 SoC 设计,我们期待可以实现更多成功的先进节点创新。”

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 模拟设计
    +关注

    关注

    1

    文章

    54

    浏览量

    18391
  • Cadence
    +关注

    关注

    62

    文章

    889

    浏览量

    141033
  • 人工智能
    +关注

    关注

    1781

    文章

    44923

    浏览量

    232357
  • DRM
    DRM
    +关注

    关注

    0

    文章

    45

    浏览量

    15046
收藏 人收藏

    评论

    相关推荐

    新思科技物理验证解决方案已获得台积公司N3P和N2工艺技术认证

    由Synopsys.ai EDA套件赋能可投产的数字模拟设流程能够针对台积公司N3/N3P和N2工艺,助力实现芯片设计成功,并加速模拟设
    的头像 发表于 05-14 10:36 228次阅读
    新思科技物理验证解决方案已<b class='flag-5'>获得</b>台积公司N3P和N2<b class='flag-5'>工艺</b>技术<b class='flag-5'>认证</b>

    Cadence数字定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字定制/模拟流程在Intel的18A工艺技术上成功通过
    的头像 发表于 02-27 14:02 334次阅读

    新思科技可互操作工艺设计套件助力开发者快速上手模拟设

    计 新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程,能够为现代射频集成电路设计提供完整解决方案 新思科技(Synopsys)近日宣布,其模拟设计迁移流程已应用于台积公司
    的头像 发表于 11-09 10:59 571次阅读

    【深圳线下】就在明天!定制/模拟设计研讨会专场 — 2023 Cadence 中国技术巡回研讨会

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。 会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制/
    的头像 发表于 10-30 11:35 311次阅读
    【深圳线下】就在明天!<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场 — 2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会

    【西安线下】就在明天!定制/模拟设计研讨会专场 — 2023 Cadence 中国技术巡回研讨会

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享定制/
    的头像 发表于 10-25 10:40 253次阅读
    【西安线下】就在明天!<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场 — 2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会

    新思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证数字模拟设流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证数字模拟设
    发表于 10-19 11:44 157次阅读

    Cadence 数字定制/模拟设流程获 TSMC 最新 N2 工艺认证

    和移动 IC 中国上海,2023 年 10 月 10 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字定制/模拟
    的头像 发表于 10-10 16:05 351次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程Cadence 和 TSMC 共同开发,旨在实现
    的头像 发表于 09-27 10:10 422次阅读

    火热报名中!2023 Cadence 中国技术巡回研讨会 — 定制/模拟设计研讨会专场(无锡、北京、西安、深圳)

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制 /
    的头像 发表于 09-21 17:15 345次阅读
    火热报名中!2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会 — <b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场(无锡、北京、西安、深圳)

    开始报名!2023 Cadence中国技术巡回研讨会 — 定制/模拟设计研讨会专场(无锡、北京、西安、深圳)

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制 /
    的头像 发表于 09-15 12:20 465次阅读
    开始报名!2023 <b class='flag-5'>Cadence</b>中国技术巡回研讨会 — <b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场(无锡、北京、西安、深圳)

    Cadence 数字定制/模拟设流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence 流程,以十足把握交付各类 HPC 及消费电子应用 中国上海,2023 年 7 月 14 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字
    的头像 发表于 07-14 12:50 470次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>数字</b>、<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计<b class='flag-5'>流程</b>通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence数字定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:12 488次阅读

    Cadence 数字定制/模拟设流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:10 397次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术的模拟IP自动迁移

    内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
    的头像 发表于 07-04 10:10 581次阅读

    Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

    ,2023 年 6 月 30 日——楷登电子(美国  Cadence  公司,NASDAQ:CDNS)近日宣布,基于 AI 的 Cadence Virtuoso Studio 设计工具和解决方案已获得 Samsung Found
    的头像 发表于 06-30 10:08 933次阅读