0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

详解Xilinx FPGA的ECO功能

而无返还 来源:而无返还 作者:而无返还 2022-08-02 09:18 次阅读

ECO 指的是 Engineering Change Order ,即工程变更指令。目的是为了在设计的后期,快速灵活地做小范围修改,从而尽可能的保持已经验证的功能和时序。ECO 是从 IC 设计领域继承而来,Vivado上 的 ECO 便相当于 ISE 上的 FPGA Editor。

1.ECO的用途

  • 修改 ILA 或者 VIO 的调试探针
  • 将一个内部网络路由到外部探针
  • 修复逻辑错误等

2.ECO 流程
打开已经实现的设计,修改网表后,如果设计未完全布局,请运行“增量布局”。否则,可以直接跳至增量布线。然后可以保存更改到新的检查点并编写新的编程和调试探针文件,打开 硬件管理器对设备进行编程。

pYYBAGGYH8SAKHsfAAHpExL8dFU983.png

3.ECO 工程实例
在 vivado 中一个工程在生成 bit 流过程中会在 implementation 阶段产生三个 dcp 文件,分别是 *_opt.dcp, *_place.dcp, *_route.dcp;如果工程需要添加一些 cell ,可以在*_place.dcp中添加,如果需要固定布线,只是微调某些net,则使用*_route.dcp。

3.1 修改 ila 信号

本次实例采用*_route.dcp。

3.1.1 断开 net

poYBAGGYH8WASKO1AARSriG5Hlc073.png
disconnect_net -net u_ila_0_axis_rx_tlast -objects [list {u_ila_0/probe8}]
  • u_ila_0_axis_rx_tlast 的 net 名
  • Objects 后面的 pin 脚

该命令是为了将某一 net 断开

pYYBAGGYH8eALNqMAAWA4fw-cGQ240.png

3.1.2 连接新的net

connect_net -hierarchical -net {u_ila_0_axis_rx_tdata[47]} -objects [list {u_ila_0/probe8}]

该命令是为了将某一 net 连接到某一 object

pYYBAGGYH8mARHqrAAQIt6kRcoc488.png

3.1.3 将原来的 net 布线 unroute

route_design -unroute -net [get_nets u_ila_0_axis_rx_tlast]

poYBAGGYH8qAAHVhAARuaXW5h8c858.png

3.1.4 重新 route 新的 net

route_design -net [get_nets {u_ila_0_axis_rx_tdata[47]}]

poYBAGGYH86AUqaaAATix-zTLLY026.png

3.1.5 重新 route 新的 net

route_design -net [get_nets {u_ila_0_axis_rx_tdata[47]}]

查看布线状态

report_route_status

pYYBAGGYH8-ARtyNAAE3pZei1ws830.png

3.1.5 生成 bit 流

write_bitstream aurora_test_route.bit -force

poYBAGGYH9GAFhLiAAPrmZ5oGV0938.png

3.2 将内部网络布线到另一个cell

本次实例采用*_place.dcp。

3.2.1 断开 net

disconnect_net -net u_ila_0_axis_rx_tlast -objects [list {u_ila_0/probe8}]

3.2.2 创建 cell

startgroup
create_cell -reference LUT1 {LUT1}
set_property init 2'h3 [get_cells { {LUT1}}]
endgroup
create_cell -reference VCC {VCC}

pYYBAGGYH9KAHk9eAAD7jqLkxsQ860.png

poYBAGGYH9SAMZIrAAHHDtWADU4555.png

3.2.3 创建 net

startgroup
create_net {LUT1_IO}
LUT1_IO
connect_net -hierarchical -net {LUT1_IO} -objects [list {LUT1/I0}]
endgroup
startgroup
create_net {LUT1_O}
LUT1_O
connect_net -hierarchical -net {LUT1_O} -objects [list {LUT1/O}]
endgroup

pYYBAGGYH9WADiLzAAIN6-2zhyg515.png

3.2.4 连接 net
将LUT1的输入连接到 VCC ,输出连接到 ila 的 probe8。

connect_net -hierarchical -net {LUT1_IO} -objects [list {VCC/P}]
connect_net -hierarchical -net {LUT1_O} -objects [list {u_ila_0/probe8}]

poYBAGGYH9eASs02AAKlQ8cKQjM965.png

3.2.5 implementation & bitstream

place_design
route_design 
write_bitstream aurora_test_place.bit -force

pYYBAGGYH9mAF-2MAAQ6WP5lfR4812.png

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1625

    文章

    21663

    浏览量

    601670
  • Xilinx
    +关注

    关注

    71

    文章

    2163

    浏览量

    120971
  • ECO
    ECO
    +关注

    关注

    0

    文章

    52

    浏览量

    14863
收藏 人收藏

    评论

    相关推荐

    XILINX FPGA IP之Clocking Wizard详解

    锁相环基本上是每一个fpga工程必不可少的模块,之前文档xilinx 7 系列FPGA时钟资源对xilinx fpga的底层时钟资源做过说明
    发表于 06-12 17:42 5213次阅读
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> IP之Clocking Wizard<b class='flag-5'>详解</b>

    XILINX FPGA IP之MMCM PLL DRP时钟动态重配详解

    上文XILINX FPGA IP之Clocking Wizard详解说到时钟IP的支持动态重配的,本节介绍通过DRP进行MMCM PLL的重新配置。
    发表于 06-12 18:24 1.1w次阅读
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> IP之MMCM PLL DRP时钟动态重配<b class='flag-5'>详解</b>

    ECO导入详解

    ECO导入详解
    发表于 08-20 15:13

    Xilinx FPGA无痛入门,海量教程免费下载

    指南 -- Modelsim仿真验证Lesson13 特权Xilinx FPGA SF-SP6入门指南 -- PWM蜂鸣器驱动之功能概述Lesson14 特权Xilinx
    发表于 07-22 11:49

    Xilinx系列FPGA芯片IP核详解

    `Xilinx系列FPGA芯片IP核详解(完整高清书签版)`
    发表于 06-06 13:15

    FPGACPLD设计工具──Xilinx+ISE使用详解

    本帖最后由 lee_st 于 2017-11-2 15:01 编辑 《FPGACPLD设计工具──Xilinx+ISE使用详解》第 1 章 ISE 系统简介
    发表于 11-02 10:02

    Xilinx+ISE使用详解

    FPGACPLD设计工具──Xilinx+ISE使用详解
    发表于 01-12 15:04

    V6 FPGA可以ECO功能吗?

    你好V6 FPGA可以ECO功能吗?例如:输入时钟添加逆变器?以上来自于谷歌翻译以下为原文Hi V6 FPGA can ECO functi
    发表于 11-13 14:20

    Xilinx_FPGA系列入门教程(一)—如何搭建Xilinx

    Xilinx FPGA系列入门教程(一)——如何搭建Xilinx FPGA开发环境
    发表于 01-18 15:30 45次下载

    Xilinx-FPGA-引脚功能详细介绍

    FPGA学习资料教程之Xilinx-FPGA-引脚功能详细介绍
    发表于 09-01 15:27 0次下载

    Xilinx FPGA的Maxim参考设计

    Xilinx FPGA的Maxim参考设计
    发表于 10-31 09:59 23次下载
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>的Maxim参考设计

    Xilinx 16nm Virtex UltraScale+ FPGA器件的功能

    在本视频中,了解Xilinx采用高带宽存储器(HBM)和CCIX技术的16nm Virtex UltraScale + FPGA功能和存储器带宽。
    的头像 发表于 11-27 06:20 3941次阅读

    Xilinx FPGA的电源设计详解

    本篇主要介绍Xilinx FPGA的电源设计,主要包括电源种类、电压要求、功耗需求,上下电时序要求,常见的电源实现方案等。
    发表于 02-17 11:03 1.1w次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>的电源设计<b class='flag-5'>详解</b>

    什么是DFT友好的功能ECO呢?

    DFT是确保芯片在制造过程中具有可测试性的一种技术。DFT友好的ECO是指在进行ECO时, 不会破坏芯片的DFT功能或降低DFT覆盖率的设计方法。
    的头像 发表于 03-06 14:47 2144次阅读

    Xilinx FPGA pcb设计

    Xilinx FPGA pcb设计
    发表于 05-29 09:11 0次下载