0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence数字和定制/模拟设计流程获得台积电最新N4P和N3E工艺认证

Cadence楷登 来源:Cadence楷登 作者:Cadence 2022-10-27 11:01 次阅读

内容提要

双方携手推进移动、汽车、人工智能和超大规模计算设计创新

双方的共同客户现可使用基于经认证的 N4P 和 N3E 流程的增强型 PDK 进行设计

针对 N4P 和 N3E PDK 进行优化的 Cadence 流程,为工程师提供轻松实现模拟迁移、最佳 PPA 和更快的上市时间

中国上海,2022 年 10 月 27 日 —— 楷登电子(美国 Cadence 公司NASDAQ:CDNS)今日宣布,Cadence 数字和定制/模拟设计流程已获得台积电最新 N4P 和 N3E 工艺认证,支持新的设计规则手册(DRM)和 FINFLEX 技术。通过持续的合作,两家公司还提供了相应的 N4P 和 N3E 工艺设计套件(PDKs),可加快移动、人工智能和超大规模计算先进节点设计创新。客户已开始使用最新的台积电工艺技术和经过认证的 Cadence 流程来实现最佳的功率、性能和面积(PPA)目标,并缩短上市时间。

最新的 N4P 和 N3E 数字全流程认证

Cadence 和台积电研发团队紧密合作,确保数字流程符合台积电的 N4P 和 N3E 先进工艺认证要求。Cadence 完整的 RTL-to-GDS 流程包括 Innovus Implementation System、Quantus Extraction Solution、Quantus FS Solution、Tempus Timing Signoff Solution 和 ECO Option、Pegasus Verification System、Liberate Characterization Solution、Voltus IC Power Integrity Solution 以及 Voltus-Fi Custom Power Integrity Solution。Cadence Genus Synthesis Solution 和预测性 iSpatial 技术也支持台积电 N4P 和 N3E 工艺技术。

数字全流程提供了支持台积电 N4P 和 N3E 工艺技术的几个关键功能,包括从合成到签核工程变更单(ECO)的原生混合高度单元行优化,可实现更好的 PPA;基于标准单元行的放置;与签核有良好相关性的实施结果,可加快设计收敛;增强的过孔支柱支撑,可提高设计性能;包含大量多高度、电压阈值(VT)和驱动强度单元的大型库;时序稳健性单元表征和分析;使用老化感知的 STA 进行可靠性建模;以及 CCSP 模型改进,为通过 Voltus IC Power Integrity Solution 进行的分析提供更好的准确性和简化表征。

最新的 N4P 和 N3E 定制/模拟流程认证

Cadence Virtuoso Design Platform包括 Virtuoso Schematic Editor、Virtuoso ADE Product Suite 和 Virtuoso Layout Suite,以及Spectre Simulation Platform包括 Spectre X Simulator、Spectre Accelerated Parallel Simulator(APS)、Spectre eXtensive Partitioning Simulator (XPS)和 Spectre RF Option,均已获得台积电 N4P 和 N3E 工艺认证。Virtuoso Design Platform 与 Innovus Implementation System 紧密集成,通过一个共用的数据库来改善混合信号设计的实施方法。

定制设计参考流程(CDRF)也已经过优化,可支持最新的 N4P 和 N3E 工艺技术。Virtuoso Schematic Editor、Virtuoso ADE Suite 和集成的 Spectre X Simulator 帮助客户有效管理物理角仿真、统计分析、设计中心化和电路优化。Virtuoso Layout Suite 已经过调优,利用基于行的实现方法,实现高效布局,具有放置、布线、填充和虚拟插入功能;增强的模拟迁移和布局复用功能;集成的寄生参数提取和 EM-IR 检查;以及集成的物理验证功能。

“我们继续与 Cadence 密切合作,确保客户可以放心地使用我们最先进的 N4P 和 N3E 技术以及经过认证的 Cadence 数字和定制/模拟流程,”台积电设计基础设施管理部门负责人 Dan Kochpatcharin 表示,“这一联合可以使台积电的先进技术与 Cadence 领先的设计解决方案相结合,有助于我们的共同客户满足严格的功耗和性能要求,并迅速向市场推出他们的下一代硅创新产品。”

“通过与台积电的长期合作,我们继续致力于技术创新,使我们的共同客户实现他们的 PPA 和生产力目标,”Cadence 公司资深副总裁兼数字和签核事业部总经理 Chin-Chi Teng 博士表示,“我们与台积电的最新合作成果再次印证了我们的承诺,即利用我们的流程和台积电的先进技术帮助客户实现卓越的设计,他们的创新产品总是令人惊讶不已。”

Cadence 数字和定制/模拟先进节点解决方案已针对台积电 N4P 和 N3E 工艺技术进行了优化,支持 Cadence 智能系统设计(Intelligent System Design)战略。该战略可助力客户实现卓越的系统级芯片(SoC)设计。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5426

    浏览量

    165288
  • 工艺
    +关注

    关注

    3

    文章

    541

    浏览量

    28626
  • 模拟设计
    +关注

    关注

    1

    文章

    54

    浏览量

    18391
  • Cadence
    +关注

    关注

    62

    文章

    889

    浏览量

    141042
收藏 人收藏

    评论

    相关推荐

    新思科技物理验证解决方案已获得台积公司N3P和N2工艺技术认证

    由Synopsys.ai EDA套件赋能可投产的数字模拟设流程能够针对台积公司N3/N3P和N2工艺,助力实现芯片设计成功,并加速模拟设
    的头像 发表于 05-14 10:36 233次阅读
    新思科技物理验证解决方案已<b class='flag-5'>获得</b>台积公司N3P和N2<b class='flag-5'>工艺</b>技术<b class='flag-5'>认证</b>

    N3E进入量产,苹果为首位客户#

    行业芯事行业资讯
    深圳市浮思特科技有限公司
    发布于 :2024年05月10日 16:41:32

    Cadence数字定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字定制/模拟流程在Intel的18A工艺技术上成功通过
    的头像 发表于 02-27 14:02 340次阅读

    新思科技可互操作工艺设计套件助力开发者快速上手模拟设

    计 新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程,能够为现代射频集成电路设计提供完整解决方案 新思科技(Synopsys)近日宣布,其模拟设计迁移流程已应用于台积公司
    的头像 发表于 11-09 10:59 576次阅读

    【深圳线下】就在明天!定制/模拟设计研讨会专场 — 2023 Cadence 中国技术巡回研讨会

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。 会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制/
    的头像 发表于 10-30 11:35 320次阅读
    【深圳线下】就在明天!<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场 — 2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会

    【西安线下】就在明天!定制/模拟设计研讨会专场 — 2023 Cadence 中国技术巡回研讨会

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享定制/
    的头像 发表于 10-25 10:40 257次阅读
    【西安线下】就在明天!<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场 — 2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会

    新思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证数字模拟设流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证数字模拟设
    发表于 10-19 11:44 157次阅读

    Cadence 数字定制/模拟设流程获 TSMC 最新 N2 工艺认证

    和移动 IC 中国上海,2023 年 10 月 10 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字定制/模拟
    的头像 发表于 10-10 16:05 358次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程Cadence 和 TS
    的头像 发表于 09-27 10:10 426次阅读

    火热报名中!2023 Cadence 中国技术巡回研讨会 — 定制/模拟设计研讨会专场(无锡、北京、西安、深圳)

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制 /
    的头像 发表于 09-21 17:15 350次阅读
    火热报名中!2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会 — <b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场(无锡、北京、西安、深圳)

    开始报名!2023 Cadence中国技术巡回研讨会 — 定制/模拟设计研讨会专场(无锡、北京、西安、深圳)

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制 /
    的头像 发表于 09-15 12:20 467次阅读
    开始报名!2023 <b class='flag-5'>Cadence</b>中国技术巡回研讨会 — <b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场(无锡、北京、西安、深圳)

    Cadence 数字定制/模拟设流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence 流程,以十足把握交付各类 HPC 及消费电子应用 中国上海,2023 年 7 月 14 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字
    的头像 发表于 07-14 12:50 472次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>数字</b>、<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计<b class='flag-5'>流程</b>通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence数字定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:12 492次阅读

    Cadence 数字定制/模拟设流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:10 399次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术的模拟IP自动迁移

    内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
    的头像 发表于 07-04 10:10 587次阅读