0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

I2S通信总线的特点及常见数据格式介绍

jf_Vqngj70R 来源:美男子玩编程 作者:美男子玩编程 2022-12-09 14:03 次阅读

之前我们讲过I2C通信总线,本篇博文将讲一讲I2C的变种:I2S总线。

I2C和I2S都是由Philips公司(2006年迁移到NXP)发布的串行总线,I2S是在I2C之后发布,I2S专为传输音频数据而设计。

I2S(Inter-IC Sound)总线,又称集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准。采用了独立的导线传输时钟与数据信号的设计,通过将数据和时钟信号分离,避免了因时差诱发的失真。

I2S总线特点如下:

支持全双工和半双工模式;

支持主、从模式。

1、物理特性

I2S总线一般由1根系统时钟线和3根信号线组成:

MCLK:称为主时钟,也叫系统时钟(Sys Clock),一般为了使系统间能够更好地同步时增加MCLK信号,MCLK的频率= 128或者256或者512 *采样频率;

SCLK(BCLK):串行时钟SCLK,也叫位时钟(BCLK),即对应数字音频的每一位数据,SCLK都有1个脉冲。

SCK的频率=声道数 * 采样频率 * 采样位数;

LRCK:帧时钟LRCK,(也称WS),用于切换左右声道的数据。

LRCK为“1”表示正在传输的是右声道的数据,为“0”则表示正在传输的是左声道的数据。

LRCK的频率等于采样频率;

SDATA(SD):就是用二进制补码表示的音频数据。最高位拥有固定的位置,而最低位的位置则是依赖于数据的有效位数。

2、常见的I2S数据格式

随着技术的发展,在统一的I2S硬件接口下,出现了多种不同的I2S数据格式,可分为:I2S Philips标准、左对齐(MSB)标准和右对齐(LSB,也叫日本格式、普通格式)标准。

发送端和接收端必须使用相同的数据格式,确保发送和接收的数据一致。

2.1、I2S Philips标准

I2S Philips标准时序图如下图所示:

使用LRCLK信号表示当前正在发送数据所属的声道,LRCLK为“1”表示正在传输的是右声道的数据,为“0”则表示正在传输的是左声道的数据。LRCLK信号从当前声道数据的第一个位(MSB)之前的一个时钟开始有效。

LRCLK信号在BCLK的下降沿变化,发送方在时钟信号BCLK的下降沿改变数据,接收方在时钟信号BCLK的上升沿读取数据。正如上文所说,LRCLK频率等于采样频率Fs,一个LRCLK周期(1/Fs)包括发送左声道和右声道数据。

对于这种标准I2S格式的信号,无论有多少位有效数据,数据的最高位总是出现在LRCLK变化(也就是一帧开始)后的第2个BCLK脉冲处。这就使得接收端与发送端的有效位数可以不同。如果接收端能处理的有效位数少于发送端,可以放弃数据帧中多余的低位数据;如果接收端能处理的有效位数多于发送端,可以自行补足剩余的位。

这种同步机制使得数字音频设备的互连更加方便,而且不会造成数据错位。

2.2、左对齐(MSB)标准

该标准较少使用,在LRCLK发生翻转的同时开始传输数据,注意LRCLK为1时,传输的是左声道数据,LRCLK为0时,传输的是右声道数据,这刚好与I2S Philips标准相反。

2.3、右对齐(LSB)标准

声音数据LSB传输完成的同时,LRCLK完成第二次翻转(刚好是LSB和LRCLK是右对齐的,所以称为右对齐标准)。注意LRCLK为1时,传输的是左声道数据,LRCLK为0时,传输的是右声道数据,这刚好与I2S Philips标准相反。

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 集成电路
    +关注

    关注

    5346

    文章

    10953

    浏览量

    355718
  • I2C
    I2C
    +关注

    关注

    28

    文章

    1398

    浏览量

    121486
  • 通信总线
    +关注

    关注

    0

    文章

    40

    浏览量

    9807
  • I2S
    I2S
    +关注

    关注

    1

    文章

    59

    浏览量

    41546
  • 系统时钟
    +关注

    关注

    1

    文章

    30

    浏览量

    9161

原文标题:一文搞懂I2S通信总线

文章出处:【微信号:美男子玩编程,微信公众号:美男子玩编程】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    音频总线I2S协议:I2S收发模块FPGA的仿真设计

    1 概述 I2S(Inter—IC Sound)总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线
    的头像 发表于 12-14 17:34 7849次阅读
    音频<b class='flag-5'>总线</b><b class='flag-5'>I2S</b>协议:<b class='flag-5'>I2S</b>收发模块FPGA的仿真设计

    全面解析I2S、TDM、PCM音频总线

    I2S是比较简单的数字接口协议,没有地址或设备选择机制。在I2S总线上,只能同时存在一个主设备和发送设备。主设备可以是发送设备,也可以是接收设备,或是协调发送设备和接收设备的其它控制设备。
    发表于 09-20 10:58 9889次阅读

    I2S总线是怎样驱动WM8978的

    背景为了了解I2S总线所对应的硬件设计,下文转载了《STM32:I2S驱动WM8978》。以加深对I2S总线的了解。正文最近项目中使用STM
    发表于 01-05 07:10

    AT32 SPI和I2S入门指南

    SPI(SerialPeripheral interface)是一种由Motorola最先推出的同步串行传输协议。SPI是一种高速、全双工、同步的通信总线,使用简单高效。I2S (Inter-IC
    发表于 03-14 19:49

    AT32 MCU SPI/I2S入门指南

    (Inter-IC Sound)总线,又称集成电路内置音频总线,是 Philips 为数字音频设备之间的音频数据传输而制定的一种总线标准。I2S
    发表于 10-25 08:26

    基于FPGA和AD1836的I2S接口设计

    I2S(Inter IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,它既规定了硬件接口规范,也规定了数字音频数据
    发表于 03-29 11:02 6325次阅读
    基于FPGA和AD1836的<b class='flag-5'>I2S</b>接口设计

    嵌入式系统中I2S总线数据通信的软件模拟

     I2S(InterIC Sound Bus)是飞利浦公司针对数字音频设备之间的音频数据传输而制定的一种总线标准,采用沿独立的导线传输时钟与数据信号的设计,通过分离
    发表于 05-25 13:48 3521次阅读
    嵌入式系统中<b class='flag-5'>I2S</b><b class='flag-5'>总线</b><b class='flag-5'>数据通信</b>的软件模拟

    CAN、I2SI2C、SPI、SSP总线介绍和比较

    CAN、I2SI2C、SPI、SSP总线介绍和比较。
    发表于 07-14 16:20 52次下载

    i2s音频总线学习

    I2S是飞利浦公司针对数字音频设备(如CD播放器、数码音效处理器、数字电视音响系统)之间的音频数据传输而制定的一种总线标准。它采用了独立的导线传输时钟与数据信号的设计,通过将
    发表于 01-29 16:29 3957次阅读
    <b class='flag-5'>i2s</b>音频<b class='flag-5'>总线</b>学习

    I2S接口工作原理_I2S接口介绍

    I2S总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该
    发表于 01-29 17:18 10.5w次阅读
    <b class='flag-5'>I2S</b>接口工作原理_<b class='flag-5'>I2S</b>接口<b class='flag-5'>介绍</b>

    几种常见I2S数据格式

    I2S总线简单有效,可以有效提升输出数据的质量,在各种嵌入式音频系统中有广泛应用。但是在嵌入式音频系统设计中,并不是所有的MCU都支持I2S总线
    发表于 01-29 19:18 1.2w次阅读
    几种<b class='flag-5'>常见</b>的<b class='flag-5'>I2S</b><b class='flag-5'>数据格式</b>

    多媒体的I2S总线的设计工程文件和程序免费下载

    本文档的主要内容详细介绍的是多媒体的I2S总线的设计工程文件和程序免费下载。
    发表于 10-21 15:18 7次下载
    多媒体的<b class='flag-5'>I2S</b><b class='flag-5'>总线</b>的设计工程文件和程序免费下载

    I2S音频总线基本知识科普

    I2S(Inter—IC Sound)总线, 又称集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准。
    的头像 发表于 04-12 07:48 2.7w次阅读
    <b class='flag-5'>I2S</b>音频<b class='flag-5'>总线</b>基本知识科普

    基于MM32F5270控制器的I2S音频播放

    MM32F5270 系列控制器支持 I2S 总线接口,本章节在接下来会对 MM32F5270 I2S进行介绍,并使用 MM32F5270 和 CS4344 芯片进行
    的头像 发表于 09-16 10:39 1754次阅读

    I2S、TDM、PCM音频总线

    I2S包括两个声道(Left/Right)的数据,在主设备发出声道选择/字选择(WS)控制下进行左右声道数据切换。通过增加I2S接口的数目或其它I2
    的头像 发表于 09-20 11:01 3423次阅读