0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习-Verilog例化说明

FPGA设计论坛 来源:未知 2022-12-12 09:50 次阅读

Verilog例化说明

1.什么是模块例化?为什么要例化?

模块例化可以理解成模块调用。对于一个FPGA工程,通常是由一个顶层模块与多个功能子模块组成,为了实现顶层模块与子模块的连接,需要进行模块间的例化(或说是调用)。在一个FPGA项目工程中,其输入、输出端口命名通常在设计前期就已确定下来,但会存在一些中间变量,一个工程可能会让不同的工程师在不同的时间段内共同完成,不同的人对于这些变量的命名会有所不同,故例化很有必要。

2.实例说明例化方法

实例:静态数码管显示
根据功能将 FPGA 顶层例化了以下两个功能子模块:计时模(time_count)和数码管静态显示模块(seg_led_static),如下图所示:
1.计时模块(仅显示端口及参数

module time_count(
inputclk , // 时钟信号
inputrst_n , // 复位信号

output reg flag // 一个时钟周期的脉冲信号
);

//parameter define
parameter MAX_NUM = 25000_000; // 计数器最大计数值
......(省略功能代码)

endmodule
  • 1

  • 2

  • 3

  • 4

  • 5

  • 6

  • 7

  • 8

  • 9

  • 10

  • 11

  • 12

2.数码管静态显示模块(仅显示端口及参数)

module seg_led_static ( 
input clk , // 时钟信号
input rst_n , // 复位信号(低有效)
input add_flag, // 数码管变化的通知信号

output reg [5:0] sel , // 数码管位选
output reg [7:0] seg_led // 数码管段选
);
......(省略功能代码)

endmodule
  • 1

  • 2

  • 3

  • 4

  • 5

  • 6

  • 7

  • 8

  • 9

  • 10

  • 11

3.顶层模块(着重看下面“例化计时模块”、“例化数码管静态显示模块”)

module seg_led_static_top (
input sys_clk , // 系统时钟
input sys_rst_n, // 系统复位信号(低有效)

output [5:0] sel , // 数码管位选
output [7:0] seg_led // 数码管段选
);

//parameter define
parameter TIME_SHOW = 25'd25000_000; // 数码管变化的时间间隔0.5s

//wire define
wire add_flag; // 数码管变化的通知信号

//例化计时模块
time_count #( //参数例化使用’#‘
.MAX_NUM (TIME_SHOW)
) u_time_count(
.clk (sys_clk ),
.rst_n (sys_rst_n),
.flag (add_flag )
);

//例化数码管静态显示模块
seg_led_static u_seg_led_static (
.clk (sys_clk ),
.rst_n (sys_rst_n),

.add_flag (add_flag ),
.sel (sel ),
.seg_led (seg_led )
);

endmodule
  • 1

  • 2

  • 3

  • 4

  • 5

  • 6

  • 7

  • 8

  • 9

  • 10

  • 11

  • 12

  • 13

  • 14

  • 15

  • 16

  • 17

  • 18

  • 19

  • 20

  • 21

  • 22

  • 23

  • 24

  • 25

  • 26

  • 27

  • 28

  • 29

  • 30

  • 31

  • 32

  • 33

  • 34

上面给出了顶层模块的完整代码,子模块只有模块的端口和参数定义的代码。这是因为顶层模块对子模块做例化时,只需要知道子模块的端口信号名,而不用关心子模块内部具体是如何实现的。

如果子模块内部使用parameter 定义了一些参数,Verilog 也支持对参数的例化(也叫参数的传递),即顶层模块可以通过例化参数来修改子模块内定义的参数。

下图为模块例化。

上图右侧是例化的数码管静态显示模块,子模块名是指被例化模块的模块名,而例化模块名相当于标识,当例化多个相同模块时,可以通过例化名来识别哪一个例化,我们一般命名为“u_”+“子模块名”。信号列表中“.”之后的信号是数码管静态显示模块定义的端口信号,括号内的信号则是顶层模块声明的信号,这样就将顶层模块的信号与子模块的信号一一对应起来,同时需要注意信号的位宽要保持一致。

接下来再来介绍一下参数的例化,参数的例化是在模块例化的基础上,增加了对参数的信号定义。

下图为参数例化:

在对参数进行例化时,在模块名的后面加上“#”,表示后面跟着的是参数列表。计时模块定义的MAX_NUM 和顶层模块的 TIME_SHOW 都是等于25000_000,当在顶层模块定义 TIME_SHOW=12500_000时,那么子模块的 MAX_NUM 的值实际上是也等于 12500_000。当然即使子模块包含参数,在做模块的例化时也可以不添加对参数的例化,这样的话,子模块的参数值等于该模块内部实际定义的值。

值得一提的是,Verilog 语法中的localparam 代表的意思同样是参数定义,用法和 parameter 基本一致,区别在于 parameter 定义的参数可以做例化,而 localparam 定义的参数是指本地参数,上层模块不可以对localparam 定义的参数做例化。







精彩推荐



至芯科技12年不忘初心、再度起航12月17日北京中心FPGA工程师就业班开课、线上线下多维教学、欢迎咨询!
System Verilog中fork...join、join_none和join_none的用法和解析
移位寄存器(左移、右移、双向)的Verilog实现
扫码加微信邀请您加入FPGA学习交流群




欢迎加入至芯科技FPGA微信学习交流群,这里有一群优秀的FPGA工程师、学生、老师、这里FPGA技术交流学习氛围浓厚、相互分享、相互帮助、叫上小伙伴一起加入吧!


点个在看你最好看






原文标题:FPGA学习-Verilog例化说明

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1620

    文章

    21497

    浏览量

    598726

原文标题:FPGA学习-Verilog例化说明

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    【招聘】verilog vhdl FPGA

    1.熟悉FPGA架构及应用,熟悉图像算法的FPGA实现。 2.熟悉verilog vhdl,熟悉Xilinx或Intel等开发工具。 3.有AI算法 fpga实现经验优先。 4.本科及
    发表于 09-02 15:50

    求助各位关于Verilog当中模块、端口与引脚 的问题

    初学者。我在刷HDLbits的时候做到了这道题 答案: 答案给的是定义了wire型的信号,并借这个来进行端口连接。而我的疑问在于: 1.模块化时,如果采用按名字的方式进行,那么:点号后面写
    发表于 07-15 20:38

    FPGA基础知识学习

    语言(HDL)如VHDL或Verilog来描述。这些描述定义了电路的功能和信号流,然后通过各种电子设计自动(EDA)工具进行辅助设计、综合、布局和布线等处理,最终将设计转化为可以在FPGA
    发表于 04-29 23:26

    哪有FPGAverilog编程基础知识?

    没接触过FPGA开发,那个verilog编程有什么入门基础知识学习的?
    发表于 04-29 23:09

    如何快速入门FPGA

    时钟管理模块、嵌入式块RAM、布线资源等。 学习FPGA编程语言: 掌握Verilog HDL(硬件描述语言)。Verilog用于数字电路的系统设计,具有简洁的语法和清晰的仿真语义,非
    发表于 04-28 09:06

    如何快速入门FPGA

    时钟管理模块、嵌入式块RAM、布线资源等。 学习FPGA编程语言: 掌握Verilog HDL(硬件描述语言)。Verilog用于数字电路的系统设计,具有简洁的语法和清晰的仿真语义,非
    发表于 04-28 08:54

    FPGA学习资料分享

    学习资源Verilog 教程,数字逻辑设计 (点击文字进入链接). 具备一定硬件描述语言基础是发开FPGA通用的。此外就是根据厂家型号、环境和提供的资源,能有效使用组织起来,实现目标功能,这更倾向于实践应用。 大家有关于实践应
    发表于 03-31 13:23

    # FPGA 编程如何工作?

    的构建块。逻辑门对输入和输出数据执行布尔运算。还有将信号从一点路由到另一点的路由资源。 FPGA 编程用 FPGA 编程对现代世界的开发人员非常有吸引力。 以下是一些用; =#1
    发表于 03-30 11:50

    fpga是用c语言还是verilog

    FPGA(现场可编程逻辑门阵列)开发主要使用的编程语言是硬件描述语言(HDL),其中Verilog是最常用的编程语言之一。而C语言通常用于传统的软件编程,与FPGA的硬件编程有所区别。
    的头像 发表于 03-27 14:38 1147次阅读

    fpga是什么专业学的

    FPGA(现场可编程门阵列)的学习涉及多个专业领域,但主要与电子信息类、自动类、计算机类等相关专业最为紧密。这些专业通常涵盖数字电路设计、硬件描述语言(如Verilog和VHDL)编
    的头像 发表于 03-14 16:41 1719次阅读

    值得多看的FPGA 学习路线

    ,我总结了这份FPGA学习路线 FPGA入门学习第一部分:硬件编程语言 FPGA的编程语言,是我们必须掌握的内容。和软件开发使用的C、C++
    发表于 01-02 23:03

    Verilog HDL和VHDL区别

    Verilog和VHDL之间的区别将在本文中通过示例进行详细说明。对优点和缺点的Verilog和VHDL进行了讨论。
    的头像 发表于 12-20 09:03 2137次阅读
    <b class='flag-5'>例</b>说<b class='flag-5'>Verilog</b> HDL和VHDL区别

    #共建FPGA开发者技术社区,为FPGA生态点赞# FPGA入门分享

    语句,循环语句,模块与端口,,函数,任务,状态机,流水线,乘法器设计,数值转换等知识点。在网上也有很多学习资料,可以搜集整理学习。 由于厂家不同,可能开发环境需要去适应下。一般方案
    发表于 11-26 21:52

    Xilinx FPGA学习笔记

    方法1.通过状态机来实现,通过verilog控制FPGA,让它该快的时候快,该慢的时候慢。
    的头像 发表于 11-02 09:48 589次阅读
    Xilinx <b class='flag-5'>FPGA</b><b class='flag-5'>学习</b>笔记

    黑金Spartan6开发板的Verilog教程详细说明

    黑金Spartan6开发板的Verilog教程详细说明
    发表于 10-11 18:02 8次下载