0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

介绍SystemVerilog几种常用的调试功能

sanyue7758 来源:芯片学堂 2023-01-09 11:51 次阅读

在工作日里,如果你问验证工程师在干嘛,多半时间他/她会告诉你在Debug。换句话说,一般在验证周期内,工程师有超过一半的时间都消耗在了功能调试上,尽管这里面包含了验证工程师跟自己“作对”的时间,即验证环境或者测试用例本身存在bug。所以,调试这项工作还是很重要的!

调试这项工作除了要求工程师对设计规范(Specification)、DUT(Design Under Test)、测试环境(testbench)结构和用例(test case)的测试意图有一定的了解,掌握必要的工具、方法和技巧也十分重要,它能够帮助工程师获得更多有效的信息,加速问题定位,提高工作效率。

方法4:可视化调试

可视化调试主要分为Post-process和Interactive这两种模式。可视化调试工具是工程师在定位代码问题时的有力工具,也是现在验证工程师主流的调试工具。工具的使用一般可以参考官方的用户手册(User Guide),也能够在官网上找到相应的培训链接和视频

常用的可视化调试工具有Synopsys家的Verdi,Siemens家的Visualizer,还有Cadence家的SimVision。对于个人用户来说,可能没有办法去实操体验,但通常所在公司会购买至少一家的License。三家公司的工具的操作流程和基础调试功能都差不多,然后又分别有自己调试的独特功能。

2a8e2f5c-8d49-11ed-bfe3-dac502259ad0.jpg

先介绍下后处理调试模式(post-process,即在仿真结束之后再去可视化和处理仿真结果,有些地方会叫做PPE,post-processing environment)的使用,因为这种方式在实际工作中用的比较多。在使用可视化调试工具之前,通常需要将testbench和RTL编译到同一个数据库中,该数据库包含了文件信息、RTL例化层次信息、信号连接关系等等,以供调试工具的追踪和分析。

如果使用Verdi工具,需要使用VCS在编译(Compilation=Analysis+Elaboration)的时候,通过加参数-kdb -lca来生成KDB库(Knowledge Database),其中lca(Limited Customer Availability Features)参数是为了指定工具特性。KDB数据库格式是Verdi专用的格式,所以KDB库有时候也可以叫Verdi库。打开verdi的时候使用命令verdi加参数-elab来选择该KDB库。

如果使用Visualizer工具,需要使用Questa/ModelSim在对设计完成编译(vlog/vcom)之后,使用vopt命令加参数-debug -designfile design.bin来生成.bin文件,同样该文件格式是Visualizer专用的。打开Visualizer的时候使用命令visualizer加参数-designfile来选择该bin文件,使用参数-wavefile来选择db波形文件。

如果使用SimVision工具,需要在仿真阶段使用NC仿真器或者XCelium仿真器(具有更高的仿真性能,比如支持多核等)将设计和波形都导出成shm格式。在仿真结束之后,你可以看到名为example.shm的目录,该目录下会有两个文件:.dsn文件和.trn文件,前者包含的是设计的信息(类似于我们上面说的数据库),后者包含的是波形信息。打开SimVision的时候使用命令simvision直接加example.shm来打开待调试的数据库。

2a94671e-8d49-11ed-bfe3-dac502259ad0.jpg

再看看交互模式(interactive mode),交互模式相对于后处理模式增加了仿真控制的功能,即可以设置仿真断点、控制仿真的暂停、运行和重启等,并实时地观察到信号的行为。交互模式下,上述EDA工具的界面上会多出来一些调试控件。不过这种模式的仿真运行速度比较慢,且在分发和重现代码行为上不是很友好,所以在实际工作中也用的比较少,除非遇到非常棘手但却摸不着头脑的问题。以上提到的几家工具都支持交互模式调试,操作流程也都差不多,并且跟后处理模式一样也需要先编译出来一个数据库。

如果使用Synopsys家的工具,在设置完必要的环境变量之后,比如VCS_HOME和VERDI_HOME,需要使用VCS命令vcs -kdb -lca -debug_access+all 编译出KDB库和simv可执行的仿真文件,然后在执行simv的时候加上参数-verdi就可以打开交互模式下的Verdi了,这个时候调试器和仿真器是关联起来的。

如果使用Siemens家的工具,同样在设置完必要的环境变量并使用命令vopt编译出design.bin文件之后,可以使用命令vsim -visualizer=design.bin -qwavedb=+signal+class -f 打开交互模式下的Visualizer,便可以在调试工具界面去控制仿真器。

如果使用Cadence家的工具,那就相对复杂一点,因为Cadence前前后后有几个仿真器,比如verilog、ncsim、irun,并且进交互调试模式的方法也比较多样,但大致可以分两种:一种是可以通过参数-gui直接开启带SimVision的仿真器,另一种方式是单独启动SimVision,使用参数-connect host/pid连接到运行在本地或者远端的仿真上。

以上命令只是展示大概的使用过程,实际应以对应版本的用户手册为准哈。当你打开可视化调试工具调试界面之后,有这么几种常用的调试功能:

通过Hierarchy等窗口浏览源代码的例化层次结构,类继承关系等;

通过查找Driver和Load来定位信号的传播通路(这个是用的最多的);

通过Filter来分类查看当前文件包含的输入输出信号、参数、变量等;

通过查找来定位某一个module例化出来的所有模块;

原理图和状态机跳转图可以有限地帮助你理解代码行为;

配合波形文件查看各种信号随时间变化的行为;

调试工具的功能还有很多,具体可以查看各个工具的官方介绍和培训视频。

方法5:SVA断言在调试中的应用

概述:SystemVerilog Assertion(断言)主要用于验证设计的行为,并且可以提供功能覆盖率信息。Assertion可以应用于两种不同的验证方法中,一种是在动态仿真中去动态地检查各个既定属性(property)是否满足,另一种测试用于Formal验证工具去证明设计是否符合规范。

作用:如果你刚接触,可以把断言简单理解成checker或者monitor,它指的是在设计中嵌入一些工程师根据待测特性自行定义的一些属性,仿真的时候仿真工具会去判断这些属性是否成立,以此来判断某个特性是否实现正确。SVA在本文中作为调试的方法来介绍,就是因为断言可以帮助我们监测属性,为我们报出来哪些时刻行为正常、哪些时刻行为异常,且这些行为可以是有时序的!

分类:在SystemVerilog中,断言大致可以分为两类:立即断言(immediate assertion)和并发断言(concurrent assertion)。立即断言是基于仿真事件(simulation event)的,当它被执行到的时候就会立即对多定义的属性做出判断并给出结果;而并发断言是基于时钟的,断言的评估(evaluate)发生在时钟边沿,这也使得并发断言具有监测的能力,这也是下面要主要介绍的。

结构:断言的具体实现依赖于更基础的元素,比如sequence和property。Sequence是最底层的元素,它可以复用和嵌套。Sequence可以用来定义简单的布尔表达式,也可以用来描述多周期的时序行为。Property则可以实现跟sequence一样的内容,也可以通过组合不同的sequence来构造更加复杂的时序行为。为了规范化,建议将嵌入的时钟信号@(posedge clk)放在property这一层,而将sequence跟时钟独立开来,方便基础sequence的复用。

调度:SystemVerilog的仿真基于事件驱动模型,事件的调度机制在SV语言标准中有明确说明。该调度机制将每个仿真时刻(time slot)再划分成多个region,如下图所示,每个region都有自己明确的操作。仿真调度算法的确定,可以使得仿真环境跟DUT交互时显示出同步的效果。其中跟SVA相关的region有Preponed、Observed和Reactive。在Preponed中,SVA会对有关联的变量完成采样;在Observed中,多有的property完成评估,即判断断言描述是否成立;在Reactive中,执行断言评估结果需要采取的对应的操作。

2a9f994a-8d49-11ed-bfe3-dac502259ad0.png

应用:断言的应用主要可以分成四个步骤:1、构造基础布尔表达式;2、构造sequence序列;3、构造断言属性property;4、将属性代码插入或绑定(bind)到待测模块中。SVA提供了一些好用又强大的功能:判断信号边沿和状态、添加延时来构造信号时序行为、支持构造不定周期的时序窗口、判断过去的信号状态、支持断言的逻辑运算等等,本文篇幅显然是不够的了。

方法6:软件调试方法的借鉴和应用

这一节的内容更像是讨论,有哪些软件开发中用到的调试方法,或者问题定位策略是可以借鉴过来应用到芯片验证中的。

有个前提需要明确的是,硬件仿真始终是基于事件驱动的程序执行过程,尽管仿真调度机制简洁明了,但往往待测设计规模庞大(具体表现为硬件行为具备并行性质,一个时钟信号的翻转事件关联着成千上万的信号动作),所以硬件仿真的运行速度会非常的慢,这是跟单纯软件程序的一个显著区别。

运行速度上的差异带来了调试方法上的一些不同。软件调试中交互式的操作非常多,比如解释执行的脚本(比如Python)不需要编译就可以马上得到执行的结果,又比如基于断点的调试可以非常容易地检查变量值和堆栈跟踪。反观硬件调试,工程师很难快速地知道在哪里设置断点,往往需要反复的尝试,这会浪费掉很多时间。因此硬件的调试更多依赖于信息的导出,其形式通常是仿真日志和波形文件。

如何提高硬件调试的交互性可能是软件调试带来的启示,有这么一些不成熟的想法,比如是否可以增加调试信息(代码、波形和仿真日志)之间的关联,实现自动化跳转;是否可以增加工具对代码的理解或者记录调试过程来进行自动化分析;是否可以在增量编译的概念上实现增量仿真;等等等等。







审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • EDA工具
    +关注

    关注

    4

    文章

    264

    浏览量

    31554
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9538
  • DUT
    DUT
    +关注

    关注

    0

    文章

    188

    浏览量

    12209

原文标题:SystemVerilog | 这些Debug调试方法你都知道吗?| Part II

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    室内北斗定位系统常用几种定位方式

    随着科技的不断进步,室内定位技术日益成熟,为人们的日常生活和工作带来了极大的便利。特别是在室内环境中,北斗卫星定位系统通过一系列创新技术,实现了高精度、高可靠的定位服务。接下来就由深圳沧穹科技给大家具体介绍室内北斗定位系统常用几种
    的头像 发表于 09-23 10:00 55次阅读

    几种常用的共模电感磁芯类型

    电子发烧友网站提供《几种常用的共模电感磁芯类型.docx》资料免费下载
    发表于 09-20 11:27 0次下载

    几种常用单片机的在线升级能力比较

    电子发烧友网站提供《几种常用单片机的在线升级能力比较.pdf》资料免费下载
    发表于 03-24 09:39 2次下载

    介绍6种常见的反调试方法

    是一种防止逆向的方案。逆向人员如果遇到复杂的代码混淆,有时会使用调试器动态分析代码逻辑简化分析流程。例如恶意软件通常会被安全研究人员、反病毒厂商和其他安全专业人员分析和调试,以了解其行为和功能,并
    的头像 发表于 01-15 09:53 3016次阅读
    <b class='flag-5'>介绍</b>6种常见的反<b class='flag-5'>调试</b>方法

    开关电源常用几种保护

    开关电源常用几种保护 开关电源是一种将交流电转换为直流电的电子设备,广泛应用于各种电子设备和系统中。由于开关电源在工作过程中会受到各种电气和环境参数的影响,因此需要有一系列的保护措施来确保其
    的头像 发表于 12-15 14:14 2923次阅读

    STM32单片机一般有几种调试方式

    JTAG调试*:这是一种常用调试工具,可以用于单步执行、断点调试等操作。在STM32单片机中,可以使用ST-Link、STMicroelectronics IDE等工具进行JTAG
    的头像 发表于 12-13 10:31 2617次阅读

    IDEA的调试功能

    工具和功能。本文将详细介绍IDEA的调试功能,包括使用方法、常用技巧和调试过程中的注意事项等。
    的头像 发表于 12-06 14:07 584次阅读

    几种常用的产生负电源的方法

    几种常用的产生负电源的方法
    的头像 发表于 12-05 15:54 1174次阅读
    <b class='flag-5'>几种</b><b class='flag-5'>常用</b>的产生负电源的方法

    如何调试AR8031 PHY功能

    本篇文章我们将跟大家介绍在开发 i.MX8QM 的过程中,如何调试 AR8031 PHY 功能
    的头像 发表于 12-04 13:48 779次阅读
    如何<b class='flag-5'>调试</b>AR8031 PHY<b class='flag-5'>功能</b>

    单片机程序调试常见问题 STM32单片机一般有几种调试方式

    SWD是STM32单片机常用调试模式之一。它通过单一的调试引脚(SWDIO)和时钟引脚(SWCLK)来进行调试和编程操作。使用SWD调试
    的头像 发表于 12-02 17:14 3141次阅读

    使用SystemVerilog调试布局方法

    System Verilog调试布局由三部分组成。左上角Design Browser,可以访问仿真对象,右上角为Source Browser,用来展示源码,下侧窗口为Waveform window,用来显示波形。
    的头像 发表于 12-02 16:08 874次阅读
    使用<b class='flag-5'>SystemVerilog</b><b class='flag-5'>调试</b>布局方法

    分享一些SystemVerilog的coding guideline

    本文分享一些SystemVerilog的coding guideline。
    的头像 发表于 11-22 09:17 599次阅读
    分享一些<b class='flag-5'>SystemVerilog</b>的coding  guideline

    LTspice的常用几种电路分析功能和操作

    “ LTspice是一款免费的仿真软件,它有体积小,安装简单,操作方便等众多优点。本文介绍LTspice的常用几种电路分析功能和操作步骤:直流分析、交流分析、瞬态分析、傅里叶分析、参
    的头像 发表于 11-03 16:16 1.5w次阅读
    LTspice的<b class='flag-5'>常用</b>的<b class='flag-5'>几种</b>电路分析<b class='flag-5'>功能</b>和操作

    SystemVerilog在硬件设计部分有哪些优势

    谈到SystemVerilog,很多工程师都认为SystemVerilog仅仅是一门验证语言,事实上不只如此。传统的Verilog和VHDL被称为HDL(Hardware Description
    的头像 发表于 10-19 11:19 976次阅读
    <b class='flag-5'>SystemVerilog</b>在硬件设计部分有哪些优势

    SystemVerilog中的联合(union)介绍

    SystemVerilog 中,联合只是信号,可通过不同名称和纵横比来加以引用。
    的头像 发表于 10-08 15:45 1119次阅读
    <b class='flag-5'>SystemVerilog</b>中的联合(union)<b class='flag-5'>介绍</b>