0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Formal Verification:形式验证的分类、发展、适用场景

ruikundianzi 来源:IP与SoC设计 2023-02-03 11:12 次阅读

Definition

Formal Verification:利用数学分析的方法,通过算法引擎建立模型,对待测设计的状态空间进行穷尽分析的验证。

Kinds of Formal Verification

相比于动态仿真Simulation Veficiation,形式验证属于Static Verification,不需要手动灌入激励;通过数学分析的方式,对待测设计进行检查;

f4c484d2-a357-11ed-bfe3-dac502259ad0.png在这里插入图片描述

形式验证分为两大分支:Equivalence Checking等价检查 和Property Checking属性检查 形式验证初次被EDA工具采用,可以追溯到90年代,被应用于RTL code和gate level code的LEC等价检查;后来形式验证开始慢慢发展,衍生出适用于不同场景的各类工具;

Equivalence Checking

Combinational equivalence:用于RTL vs Netlist的检查,检查寄存器之间的组合逻辑在综合前后是否一致,如Formality,Conformal。Sequential Equivalence: 用于RTL vs RTL的检查,基于cycle的精确度;适用于对原有block级RTL做了非逻辑修改,如Clock/power gating,对修改后的RTL进行等价检查。Transaction Equivalence:用于C/C++ model VS RTL的检查,基于transaction的精确度;常用于数据通路的算法类设计。

Property Checking

属性检查基于PSL/SVA Assertion Languages,通过对property的assume,cover,assert语句分析,建立golden模型。FPV(Formal Property Verification)需要用户直接书写property;从FPV衍生出各类APP,适用于不同场景,可以根据相关配置,自动生成对应的property。

除了上述两类,CDC的检查也属于static verification;例如Spyglass会对跨时钟域设计做structural 结构上的检查,检查跨时钟域的信号是否经过同步器处理;一般来讲,formal verification属于static verification;

Simulation VS Formal

Simulation属于Dynamic Verification,Formal属于Static Verification;两者是相互补充的验证手段,各有优缺点,在合适的场景采用合适的验证手段,达到最佳的ROI。

f4dd0b42-a357-11ed-bfe3-dac502259ad0.png在这里插入图片描述

Simulation是time-based的,仿真器依据消耗时间的事件推进仿真的进行,激励需要用户施加;Simulation虽然可以随机化发送激励,但是对于corner case的遍历需要花费大量时间;Simulation适用于大规模的设计,仿真的时间深度可以轻松达到上万个cycle;

Formal是state-space based的,依据算法探索所有可能的状态空间,不需要平台搭建和输入激励,便于快速启动验证;Formal适用于小模块的验证,随着设计复杂度和cycle深度的增加,formal会占用大量资源,难以收敛;

Formal适用于40,000 寄存器以内的模块验证(这个数据已经被刷新);随着设计复杂度的增加,state space explosion,状态空间激增;一个设计包含n个dff,有2n种配置,m个input有2m种组合;该设计可能的状态达到2(n+m)个;对于一个10 input,10 dff的设计,为220=1,048,576。

回到开头所说的,Simulation和Formal是相互补充的;模块中的assertion语句既可以在Formal中使用,也可以在Simulation中使用;Formal产生的覆盖率也可以merge到Simulation的覆盖率中;设计人员可以通过Formal免于平台的搭建,快速地跑通IP中的一些模块,再hand-off给验证人员使用Simulation sign-off(Shift-Left);Simulation中的corner scenario,可以通过Bug hunting FPV补充验证;

Formal do better

不同的验证策略适合不同的验证场景;Emulation适用于整个Chip级的验证,加速仿真速度;UVM-Simulation适用于复杂寄存器配置的传输packet的IP验证,便于提取transaction和随机化验证;Formal(FPV)适合相对较小的模块,便于收敛;Formal适合controllable的模块,例如FSMs;Formal适合observable的模块,便于assertion的书写,如AXI bus;串行bus则不适合使用formal。开源项目Opentitan中使用FPV的验证模块[2]

适合Formal的常见模块如下:

  • •Arbiter、Scheduler

  • •FIFO 、FSMs

  • •Interrupt controller、DMA controller、Memory controller

  • •Power manager unit、Clock programing unit

  • •Bus、Bus bridge、Bus Fabric (CrossBar NoC etc)

  • •Cache,Cache-Coherent Protocols modeling and verification

  • •Data transport

  • Pinmux, Clock Controller, Reset Controller

The growth of Formal

Formal Property Verification相关的工具也有十几年历史了,但由于其限制,Formal Tool并没有被用户广泛使用。但最近这些年,一些因素推动了formal的高速发展:

  1. 1. 之前繁多的语言(Vera,'e',摩托罗拉的CBV和英特尔的ForSpec)整合为SystemVerilog Assertion,并加入IEEE 1800协议,成为标准化的Assertion Languages。工程师在Simulation中广泛使用SVA,节省了在Formal上的学习成本。

  2. 2. 随着工艺节点的缩小,流程成本大幅提高;对于corner scenario下可能会隐藏的bug,工程师更倾向Fromal这类exhaustive的验证手段。

  3. 3. Formal可以很好的匹配Simulation;同一家EDA的Formal和Simulation工具相互打通,Formal产生coverage可以和Simulation的coverage相互merge,Formal产生的波形可以在Simulaiton上复现,Formal和Simulaiton相同的GUI Debug工具等。

  4. 4. 各类Formal APPs的推出,使得Formal更容易掌握和部署。

  5. 5. 随着企业服务器性能的提升和Formal算法的发展,可以处理更复杂的设计规模。

  6. 6. 一些基于C/C++ model的包含大量运算单元的硬件产品,如AI/ML,GPU的需要爆发,推动了Formal Data Path Validation;

  7. 7. Automotive Chip需求激增及其高可靠性的要求,Formal提供safety fault analysis的功能;

  8. 8. 芯片对Security的要求越来越高,需要穷尽分析所有访问路径,适合采用Formal;

  9. 9. 移动端芯片对于Lower Power的重视;PMU模块适合formal验证;

  10. 10.采用敏捷开发的芯片团队,对于"shift left"的追求,采用formal快速进行模块验证及早发现bug;


审核编辑 :李倩


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5181

    浏览量

    118338
  • eda
    eda
    +关注

    关注

    71

    文章

    2590

    浏览量

    171433
  • C++
    C++
    +关注

    关注

    21

    文章

    2070

    浏览量

    73024

原文标题:Formal Verification:形式验证的分类、发展、适用场景

文章出处:【微信号:IP与SoC设计,微信公众号:IP与SoC设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    浅析形式验证分类发展适用场景

    Formal Verification:利用数学分析的方法,通过算法引擎建立模型,对待测设计的状态空间进行穷尽分析的验证
    的头像 发表于 08-25 09:04 1148次阅读
    浅析<b class='flag-5'>形式</b><b class='flag-5'>验证</b>的<b class='flag-5'>分类</b>、<b class='flag-5'>发展</b>、<b class='flag-5'>适用场景</b>

    EDA形式化验证漫谈:仿真之外,验证之内

    “在未来五年内仿真将逐渐被淘汰,仅用于子系统和系统级验证。与此同时,形式化验证方法已经开始处理一些系统级任务。随着技术发展,更多Formal相关的商业标准化会推出。” Intel fe
    的头像 发表于 09-01 09:10 1098次阅读

    步进电机是什么工作原理?有哪些分类?应用场景是什么?

    步进电机是什么工作原理?有哪些分类?应用场景是什么?
    发表于 10-19 08:21

    Verification Feature获取及其验证

    。还有就是正向分析哪个功能容易有错误。随机验证:这个正如字面所示,就是random产生激励,该方法可能对一些取任何值不敏感的情况。场景分析法:通过运用场景来对系统的功能点或业务流程的描述,从而提高测试效果
    发表于 12-30 16:21

    A Roadmap for Formal Property

    What is formal property verification? A natural language such as English allowsus to interpret
    发表于 07-18 08:27 0次下载
    A Roadmap for <b class='flag-5'>Formal</b> Property

    Advanced Formal Verification

    been observed that verification becomes the major bottleneck in design flows, i.e. up to 80% of the overall design
    发表于 07-21 09:10 0次下载
    Advanced <b class='flag-5'>Formal</b> <b class='flag-5'>Verification</b>

    Functional Verification Coverage Measurement and Analysis

    What is functional verification? I introduce a formal definition for functional verification
    发表于 07-25 14:48 0次下载
    Functional <b class='flag-5'>Verification</b> Coverage Measurement and Analysis

    功能验证覆盖测量与分析

    What is functional verification? I introduce a formal definition for functional verification
    发表于 07-25 14:49 0次下载
    功能<b class='flag-5'>验证</b>覆盖测量与分析

    深层解析形式验证

      形式验证Formal Verification)是一种IC设计的验证方法,它的主要思想是通过使用
    发表于 08-06 10:05 3825次阅读
    深层解析<b class='flag-5'>形式</b><b class='flag-5'>验证</b>

    新思科技升级Verification Continuum平台继续引领技术

    引擎,包括Virtualizer™虚拟原型、SpyGlass®静态验证、VC Formal®形式验证、VCS®软件仿真、ZeBu®硬件加速仿真、HAPS®原型、Verdi®调试和VC
    的头像 发表于 06-11 08:42 4546次阅读

    形式验证工具对系统功能的设计

    形式验证工具(Formal Verification Tool)是通过数学逻辑的算法来判断硬件设计的功能是否正确,通常有等价性检查(Equivalence Checking)和属性检查
    的头像 发表于 08-25 14:35 1199次阅读

    分享一些形式验证(Formal Verification)的经典视频

    前段时间很多朋友在微信群里讨论Formal验证的视频资料问题,今天整理好了,分享给大家。
    的头像 发表于 02-11 13:15 619次阅读
    分享一些<b class='flag-5'>形式</b><b class='flag-5'>验证</b>(<b class='flag-5'>Formal</b> <b class='flag-5'>Verification</b>)的经典视频

    Formal Verification的基础知识

    通过上一篇对Formal Verification有了基本的认识;本篇将通过一个简单的例子,感受一下Formal的“魅力”;目前Formal Tool主流的有Synopsys的VC
    的头像 发表于 05-25 17:29 1767次阅读
    <b class='flag-5'>Formal</b> <b class='flag-5'>Verification</b>的基础知识

    什么是形式验证(Formal验证)?Formal是怎么实现的呢?

    相信很多人已经接触过验证。如我以前有篇文章所写验证分为IP验证,FPGA验证,SOC验证和CPU验证
    的头像 发表于 07-21 09:53 7041次阅读
    什么是<b class='flag-5'>形式</b><b class='flag-5'>验证</b>(<b class='flag-5'>Formal</b><b class='flag-5'>验证</b>)?<b class='flag-5'>Formal</b>是怎么实现的呢?

    Formal Verify形式验证的流程概述

    Formal Verify,即形式验证,主要思想是通过使用数学证明的方式来验证一个修改后的设计和它原始的设计,在功能上是否等价。
    的头像 发表于 09-15 10:45 635次阅读
    <b class='flag-5'>Formal</b> Verify<b class='flag-5'>形式</b><b class='flag-5'>验证</b>的流程概述