0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 2019.2安装教程介绍

FPGA技术江湖 来源:FPGA技术江湖 2023-02-20 10:38 次阅读

Vivado2019.2安装教程

早期的数字电路设计,采用原理图以人工方式进行。随着电子技术的进步,更复杂庞大和精准有效的数字系统设计,则需要CAD技术的帮助。现在大规模集成电路设计系统,容量以百万门为单位,人工方法已经无法适应,而基于计算机语言的数字电路设计,则能够方便快捷的完成从设计到验证的全过程。其中有些早期验证,可以在实际硬件装配调试前完成,有力于加速产品研发进度。

FPGA 芯片是不“认识”所谓的高级设计语言的,它只认识一系列的机器码,所以在设计时,需要一个能够把高级设计语言转化为机器码的工具-综合器。

FPGA 的种类和厂家很多,每个厂家都会根据自己芯片的独特需求设计出一款比较适合自家芯片的综合器。本文主要介绍 XilinxFPGA,下面介绍 Xilinx FPGA 的综合工具 Vivado 软件。

接下来为大家介绍 Vivado2019.2 的安装教程。

1、安装包

在这里给大家省去找安装包资源的时间,直接给出网盘链接,大家可以自行下载

大家下载好之后,将压缩包直接解压。得到如图文件。建议大家在解压和安装的过程中提前关掉自己电脑上的杀毒软件,避免安装过程中将安装的必要文件误删。

f96e4dd2-af60-11ed-bfe3-dac502259ad0.png

继续往下拉,会看到Vivado的安装应用程序。

f99ccc20-af60-11ed-bfe3-dac502259ad0.png

2、安装

双击软件安装程序xsetup.exe,出现如图界面:

f9bcbf62-af60-11ed-bfe3-dac502259ad0.png

红色字体提示:为了减少安装时间,我们建议在安装之前退出杀毒软件。如果在解压安装包之前就已经退出,此提示请忽略。接下来我们点击Next。

3、安装许可

全部点击同意。然后点击Next。

f9dae3a2-af60-11ed-bfe3-dac502259ad0.png

4、安装内容选择

此页是选择安装的内容,如果大家没有其他特殊要求,那么我们保持默认即可,直接点击Next。

fa08bc3c-af60-11ed-bfe3-dac502259ad0.png

5、修改路径

fa29fb2c-af60-11ed-bfe3-dac502259ad0.png

在此处,我们需要选择安装路径,建议大家安装在除了C盘之外的地方,如果大家只有一个C盘,可忽略。在选择安装路径时,有几点要求:

1、不要选择有中文的路径。路径也不要太长。

2、建议大家选择路径时只将C盘改成别的盘,如图,我们只需将C改成D。后面的路径不要做修改。

3、安装空间要足够,我们要选择磁盘空间大于76.42GB的位置进行安装。

fa4d24a8-af60-11ed-bfe3-dac502259ad0.png

此页是信息展示,我们安装时的所选内容会给大家展示出来,如果有想要修改的地方,点击Back进行修改。否则点击Next继续安装。

6、等待安装

等到我们的两个进度条全部完成会提示安装成功,大家电脑配置不同,安装时间也会有区别,所以建议大家在安装时不要进行其他操作,以免影响安装进度。

此过程可能时间较长,请耐心等待。

fa66ec94-af60-11ed-bfe3-dac502259ad0.png

7、设置

安装好之后,会弹出如下界面。此提示是告诉大家,在继续安装之前先拔掉Xilinx的USB电缆线以及JTAG线。我们在确保没有连接的情况下点击确定。

faa8ef7c-af60-11ed-bfe3-dac502259ad0.png

8、安装完成

上一步点击完成之后,会出现安装完成的提示。我们点击确定完成安装。

fac92d78-af60-11ed-bfe3-dac502259ad0.png

9、破解

上一步点击确定之后会弹出破解的界面:

faf8fdc8-af60-11ed-bfe3-dac502259ad0.png

如果大家只是短时间使用,可以选择第一个选项,暂时使用30天。否则选择第四个选项。然后在左边选择Load License,如下图。然后我们点击Copy License。

将我们的License文件添加上。

fb43ba48-af60-11ed-bfe3-dac502259ad0.png

fb67c85c-af60-11ed-bfe3-dac502259ad0.png

选中文件,然后点击打开,会弹出提示成功的窗口。

fb90c27a-af60-11ed-bfe3-dac502259ad0.png

我们点击确定,然后在左边点击View License Status。然后我们就会看到右边表格中会出现内容。如果添加不成功,表格是空白的。至此,我们安装和破解完成。将界面关闭即可使用Vivado。

fbafcc74-af60-11ed-bfe3-dac502259ad0.png








审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1621

    文章

    21522

    浏览量

    599505
  • 数字电路
    +关注

    关注

    193

    文章

    1585

    浏览量

    80228
  • CAD技术
    +关注

    关注

    0

    文章

    6

    浏览量

    7589
  • Vivado
    +关注

    关注

    19

    文章

    797

    浏览量

    65929

原文标题:Vivado 2019.2 安装教程

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    vivado安装问题

    请教大家一个vivado安装问题,安装完成后直接打开vivado过2分钟后显示超时,运行vivado.bat产生一个文档,显示:no sta
    发表于 12-03 11:59

    Vivado 2017.2安装教程以及安装包分享

    `Vivado 2017.2安装教程安装包网盘链接及密码:链接:http://pan.baidu.com/s/1slAxXFZ 密码:enfk注意事项:1.Vivado 2017.2不
    发表于 12-16 18:13

    安装Vivado 2015.1时出错

    你好,我正在尝试安装Vivado 2015.1。我的操作系统是Debian Jessie。当我输入./xsetup开始安装时,我收到以下错误:错误:32位平台不支持此安装然后我用una
    发表于 12-10 10:45

    Vivado 2014.3.1安装问题

    您好,我在vivado 2014.3设计套件安装时遇到了问题。我尝试了Windows 64的Web安装和完整的产品安装,在我下载它们并单击安装
    发表于 12-12 10:44

    如何从崩溃的vivado安装中恢复或如何卸载部分安装

    嗨,当我在Linux系统上安装Vivado 2017.2时,由于与Vivado安装无关的原因,系统在安装过程中崩溃了。这给我留下了一个带有“
    发表于 12-25 11:10

    怎么安装vivado2019.2

    怎么安装vivado2019.2
    发表于 06-21 08:03

    Vivado 2019.2 安装教程

    需求设计出一款比较适合自家芯片的综合器。本文主要介绍 Xilinx FPGA,下面介绍 Xilinx FPGA 的综合工具 Vivado 软件。接下来为大家介绍
    发表于 04-12 22:24

    Vivado 2014.1的下载与安装概述

    随着Vivado 2014.1的发布,安装将比以往更小,更快。 您现在可以通过选择,下载和仅安装设计所需的组件来自定义安装
    的头像 发表于 11-29 06:49 5969次阅读
    <b class='flag-5'>Vivado</b> 2014.1的下载与<b class='flag-5'>安装</b>概述

    Vivado安装生成bit文件及烧录FPGA的简要流程教程免费下载

    本文档的主要内容详细介绍的是Vivado安装生成bit文件及烧录FPGA的简要流程教程免费下载。
    发表于 06-18 08:00 25次下载

    将SDAccel项目迁移到Vitis 2019.2的技巧

    Vitis 2019.2 使用 gcc 编译 C 语言源代码,使用 Vivado HLS 编译与 SDAccel 流匹配的加速内核。此外,Vitis 也使用与 SDAccel 相同的目标平台和赛灵思
    的头像 发表于 06-28 10:05 2173次阅读
    将SDAccel项目迁移到Vitis <b class='flag-5'>2019.2</b>的技巧

    linux vivado安装时卡在最后一步怎么办

    在ubuntu上安装vivado2021.1时,一直卡在最后一步:generating installed device list 安装其他版本也出现过该问题。
    的头像 发表于 05-05 15:51 2746次阅读
    linux <b class='flag-5'>vivado</b><b class='flag-5'>安装</b>时卡在最后一步怎么办

    使用PetaLinux 2019.2的Zynqberry Pi操作系统

    电子发烧友网站提供《使用PetaLinux 2019.2的Zynqberry Pi操作系统.zip》资料免费下载
    发表于 06-19 14:26 0次下载
    使用PetaLinux <b class='flag-5'>2019.2</b>的Zynqberry Pi操作系统

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装
    的头像 发表于 07-24 09:04 3237次阅读
    <b class='flag-5'>Vivado</b>调用Modelsim仿真

    vivado软件和modelsim软件的安装方法

    本文详细介绍vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 4143次阅读
    <b class='flag-5'>vivado</b>软件和modelsim软件的<b class='flag-5'>安装</b>方法

    VIVADO安装问题解决

    vivado出现安装问题刚开始还以为是安装路径包含中文空格了,重装的注意了一下,发现还是这个问题。。。。后来又一顿操作猛如虎,终于发现了问题。出这个问题的原因是vivado压缩包解压的
    发表于 12-22 10:56 0次下载