0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

GBUFCE原语介绍

XL FPGA技术交流 来源:XL科技 作者:XL科技 2023-04-06 11:44 次阅读

易灵思提供了原语文档,可以查看每个原语。经常有人会问,如果普通的GPIO怎么走全局时钟网络,其实很简单,打开原主文档,找到EFX_GBUFCE,该部分提供了verilog和VHDL的用法。

EFX_GBUFCE既可以让GPIO走全局时钟网络也可以用于为时钟添加使能控制,当并不是随时需要该时钟时可以把时钟禁止以节省功耗。

CE_POLARITY用于指示CE是高有效还是低有效,如果为1则高有效,反之则低有效。

CE是时钟O的输出使能。

01c14416-d401-11ed-bfe3-dac502259ad0.png

EFX_GBUFCE # (
   .CE_POLARITY(1'b1)   // 0 active low, 1 active high
) EFX_GBUFCE_inst (
   .O(O),               // Clock output to global clock network
   .I(I),               // Clock input
   .CE(CE)              // Clock gate
);




审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • GPIO
    +关注

    关注

    16

    文章

    1180

    浏览量

    51603
  • 时钟网络
    +关注

    关注

    0

    文章

    16

    浏览量

    6559
  • VHDL电路
    +关注

    关注

    0

    文章

    4

    浏览量

    1395

原文标题:GBUFCE原语

文章出处:【微信号:gh_ea2445df5d2a,微信公众号:FPGA及视频处理】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    xilinx中的carry4原语在高云FPGA中用什么原语替代?

    xilinx中的carry4原语在高云FPGA中用什么原语替代
    发表于 05-09 16:13

    Xilinx中的原语作用是啥啊?

    我看到别人写的项目 程序中用了很多原语,比如输入时钟要设置一个IBUFG,有一些输出信号接一个OBUFG,那么原语的好处是什么?如何知道什么时候要使用原语
    发表于 07-13 19:59

    xilinx原语问题

    `[tr=transparent]BUFMUX原语是2输入1选择1输出,现在我想改成2位位宽的s选择信号,达到一个四输入的BUFMUX,请问有什么办法可以实现,如果级联?图片最下面这个控制信号是2位的[/tr]`
    发表于 03-23 15:18

    如何从Virtex原语切换到Spartan原语

    亲爱的大家,Virtex中的许多原语在Spartan 6中找不到,例如BUFIO,BUFR,IDELAY,IDDR。如何使用Spartan原语实现类似的功能?非常感谢你!箱子以上来自于谷歌翻译以下
    发表于 06-03 10:31

    Xilinx原语的使用方法

    Xilinx原语使用方法
    发表于 02-22 06:55

    Gowin FPGA原语使用手册

    Gowin FPGA原语使用指南
    发表于 09-30 06:59

    xilinx原语的使用方法

    xilinx原语的使用,建议有一定经验的参考。
    发表于 12-17 11:58 13次下载

    xilinx 原语使用方法

    xilinx 原语使用方法
    发表于 10-17 08:57 11次下载
    xilinx <b class='flag-5'>原语</b>使用方法

    xilinx原语使用方法

    xilinx原语使用方法
    发表于 10-19 08:50 15次下载
    xilinx<b class='flag-5'>原语</b>使用方法

    Xilinx FPGA常用原语介绍

    项目中主要用到的原语与IO端口有关,所以基本在Input/Output Functions 和IO两类中。下面着重介绍实际中所用到的几个原语,芯片A7系列。
    发表于 01-06 11:23 1.6w次阅读
    Xilinx FPGA常用<b class='flag-5'>原语</b><b class='flag-5'>介绍</b>

    基于URAM原语创建容量更大的RAM

    UltraRAM 原语(也称为 URAM)可在 Xilinx UltraScale +™ 架构中使用,而且可用来高效地实现大容量深存储器。
    发表于 07-13 11:08 7211次阅读
    基于URAM<b class='flag-5'>原语</b>创建容量更大的RAM

    Xilinx原语使用方法有哪些

    Xilinx公司的原语按照功能分为10类,包括:计算组件、I/O端口组件、寄存器和锁存器、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM组件、Slice/CLB组件以及G比特收发器组件。下面分别对其进行详细介绍
    的头像 发表于 02-08 14:01 1322次阅读
    Xilinx<b class='flag-5'>原语</b>使用方法有哪些

    Xilinx原语使用方法

    Xilinx公司的原语按照功能分为10类,包括:计算组件、I/O端口组件、寄存器和锁存器、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM组件、Slice/CLB组件以及G比特收发器组件。下面分别对其进行详细介绍
    发表于 03-24 06:14 3次下载
    Xilinx<b class='flag-5'>原语</b>使用方法

    Verilog HDL指定用户定义原语UDP的能力

    在前一章中,我们介绍了Verilog HDL提供的内置基本门。本章讲述Verilog HDL指定用户定义原语U D P的能力。
    的头像 发表于 08-08 11:46 900次阅读

    Gowin原语用户指南

    电子发烧友网站提供《Gowin原语用户指南.pdf》资料免费下载
    发表于 09-15 11:57 1次下载
    Gowin<b class='flag-5'>原语</b>用户指南