0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

用精准的PWM轻触你的绚烂多彩

先楫半导体HPMicro 2022-05-26 09:14 次阅读

1简介


本文主要介绍基于HPM6750 EVK Mini板驱动点亮LED三色灯,从而让用户能快速熟悉先楫MCU PWM定时器原理和HPM_SDK Driver API的使用方法。


本文档中有关HPM6750 EVK mini板的使用说明可以参考位于SDK软件包中\Doc目录下的HPM6750EVKMINI_UG.pdf


本文档中有关HPM6750 EVK mini 硬件原理图,请查阅HPM6750EVKMINI硬件设计资料\原理图\HPM6750EVKMINI Rev.B.pdf。


本文档中有关HPM6750 MCU datasheet,请查阅HPM6750_DS.pdf;有关HPM6750 MCU user manual请查阅HPM6750_UM.pdf


本文将基于HPM SDK中pwm driver api接口抽象封装针对LED灯的adapte接口,并通过adapte接口实现LED三色灯的开关和呼吸效果。

2 环境准备


2.1PWM定时器原理


查阅HPM6750 MCU user manual文档中章节:电机系统->45 PWM定时器PWM内容。

9e866d12-dc59-11ec-b80f-dac502259ad0.png

PWM的框图

大致介绍:

l 先楫PWM定时器由4个模块组成:定时器时间基准模块、比较器模块、输出通道模块、PWM输出控制模块。


l 定时器时间基准模块决定PWM定时器运行的时间和周期。通过读写计数器、起始寄存器、重载寄存器、计数器使能来设置定时器时间基准模块。


l PWM生成通过定时器时间基准模块配合比较器以及输出通道来生成输出参考信号。一个输出通道可匹配多个比较器(注意:匹配多个比较器必须连续),当计数器的值等于比较器的值时,产生匹配事件逻辑1,当计数器到达重载数值时,产生重载事件逻辑0。如果比较器的值和重载值相同,则会保持逻辑1产生100%占空比输出,如果比较器的值>重载值,则会保持逻辑0产生0%占空比输出。


l 输出通道输出的参考信号经过PWM输出控制模块处理互补控制、死区插入、取反控制、强制输出、故障保护后,形成输出信号到IO口。如果不对输出控制模块操作则透传输出通道参考信号。由于LED RGB三色灯使用不到以上,本文档不介绍PWM输出控制模块。


l 针对LED 简单的PWM波形,只需要一个比较器即可实现。波形实现如图:

9ed225ea-dc59-11ec-b80f-dac502259ad0.png

波形实现如图

PWM频率:总线时钟频率/重载值。

占空比:比较器值/总步长 * 100。

2.2PWM Driver API梳理

查阅HPM SDK软件API手册中PWM driver APIs章节。

9f131fe6-dc59-11ec-b80f-dac502259ad0.png

针对LED 简单波形使用到的接口有:

//重载值设置pwm_set_reload//计数器起始值设置pwm_set_start_count//比较器配置pwm_config_cmp//PWM波形设置(输出通道和比较器匹配设置)pwm_setup_waveform//加载比较器影子寄存器匹配设置
pwm_load_cmp_shadow_on_match//计时器使能计数pwm_start_counter//计数器禁止计数pwm_stop_counter//更新比较器边沿触发值(调整占空比)pwm_update_raw_cmp_edge_aligned//使能PWM通道输出pwm_enable_output
//禁止PWM通道输出pwm_disable_output

2.3确认LED 硬件PIN脚


查阅HPM6750 EVK mini 硬件原理图,确认LED三色灯三路PWM通道和管脚,分别为:

RED: PWM0.P7 —— PB20

GREEN: PWM1.P1 —— PB18

BLUE: PWM1.P0 —— PB19

9f853ebe-dc59-11ec-b80f-dac502259ad0.png9fc965e4-dc59-11ec-b80f-dac502259ad0.png

3 接口封装

3.1PWM初始化

pwm_port_handle_t hpm_pwm_init(PWM_Type* pwm_id, uint32_t pwm_out, uint32_t pwm_cmp, bool init_zero, clock_name_t clock_name){ led_pwm_t *handle = malloc(sizeof(led_pwm_t)); if(NULL == handle) return NULL; memset(handle, 0, sizeof(led_pwm_t)); handle->pwm = pwm_id; handle->reload = 0; handle->step = 0; handle->pwm_irq = 0; handle->pwm_cmp = pwm_cmp; handle->pwm_ch = pwm_out; handle->pwm_cmp_initial_zero = init_zero; handle->bus_freq = clock_get_frequency(clock_name); return (pwm_port_handle_t)handle;}

handle->pwm_irq = 0; handle->pwm_cmp = pwm_cmp; handle->pwm_ch = pwm_out; handle->pwm_cmp_initial_zero = init_zero; handle->bus_freq = clock_get_frequency(clock_name); return (pwm_port_handle_t)handle;

3.2PWM释放


void hpm_pwm_deinit(pwm_port_handle_t pwm_handle){ led_pwm_t *handle = (led_pwm_t *)pwm_handle; if(NULL == handle) return; pwm_disable_output(handle->pwm, handle->pwm_ch); free(handle); handle = NULL; return;

3.3PWM运行


#define PWM_DUTY_STEP_COUNT (100U)/*duty: 0~100%, freq: 100~200000000*/int hpm_pwm_start(pwm_port_handle_t pwm_handle, uint32_t duty, uint32_t freq){ led_pwm_t *handle = (led_pwm_t *)pwm_handle; uint32_t reload, now_cmp; pwm_cmp_config_t cmp_config = {0}; pwm_config_t pwm_config = {0}; if(NULL == handle) { return -1; } if(freq > handle->bus_freq) { return -2; } reload = handle->bus_freq / freq - 1; //start 0 index if(reload == 0) return -2; if(reload != handle->reload || handle->reload == 0) { handle->reload = reload; pwm_stop_counter(handle->pwm); pwm_get_default_pwm_config(handle->pwm, &pwm_config); pwm_get_default_cmp_config(handle->pwm, &cmp_config); pwm_config.enable_output = false; pwm_config.dead_zone_in_half_cycle = 0; pwm_config.invert_output = false; /* * reload and start counter */ pwm_set_reload(handle->pwm, 0, handle->reload); pwm_set_start_count(handle->pwm, 0, 0); cmp_config.mode = pwm_cmp_mode_output_compare; cmp_config.cmp = handle->pwm_cmp_initial_zero ? 0 : handle->reload + 1; cmp_config.update_trigger = pwm_shadow_register_update_on_modify; /* config initial compare value which should take affect immediately */ pwm_config_cmp(handle->pwm, handle->pwm_cmp, &cmp_config); /* * config pwm as output driven by cmp */ if (status_success != pwm_setup_waveform(handle->pwm, handle->pwm_ch, &pwm_config, handle->pwm_cmp, &cmp_config, 1)) { printf("failed to setup waveform\n"); return -3; } pwm_load_cmp_shadow_on_match(handle->pwm, handle->pwm_cmp, &cmp_config); handle->step = (handle->reload + 1)/PWM_DUTY_STEP_COUNT; pwm_update_raw_cmp_edge_aligned(handle->pwm, handle->pwm_cmp, handle->pwm_cmp_initial_zero ? 0 : handle->reload + 1); pwm_start_counter(handle->pwm); } if(duty == 100) { now_cmp = handle->reload + 1; } else { now_cmp = handle->step * PWM_DUTY_STEP_COUNT/100 * duty; } pwm_update_raw_cmp_edge_aligned(handle->pwm, handle->pwm_cmp, now_cmp); pwm_enable_output(handle->pwm, handle->pwm_ch); return 0;

3.4PWM停止


nt hpm_pwm_stop(pwm_port_handle_t pwm_handle){ led_pwm_t *handle = (led_pwm_t *)pwm_handle; if(NULL == handle) return -1; pwm_disable_output(handle->pwm, handle->pwm_ch); return 0;

4 功能实现

4.1呼吸灯功能


#define LED_PWM_FREQ 200000 //200khz

int sample_breath_lamp(pwm_port_handle_t handle){ if(NULL == handle) { printf("handle is null\n"); return -1; } for(uint32_t i = 0; i <= 100; i++) { hpm_pwm_start(handle, i, LED_PWM_FREQ); board_delay_ms(10); } for(uint32_t i = 100; i > 0; i--) { hpm_pwm_start(handle, i, LED_PWM_FREQ); board_delay_ms(10); } hpm_pwm_stop(handle); return 0;}

4.2LED点亮呼吸


board_init(); board_init_rgb_pwm_pins(); printf("rgb led example\n"); pwm_port_handle_t red_handle = hpm_pwm_init(RED_PWM, RED_PWM_OUT, RED_PWM_CMP, RED_PWM_CMP_INITIAL_ZERO, RED_PWM_CLOCK_NAME); if(red_handle == NULL) { printf("red pwm init fail!\n"); while(1); } pwm_port_handle_t green_handle = hpm_pwm_init(GREEN_PWM, GREEN_PWM_OUT, GREEN_PWM_CMP, GREEN_PWM_CMP_INITIAL_ZERO, GREEN_PWM_CLOCK_NAME); if(green_handle == NULL) { printf("green pwm init fail!\n"); while(1); } pwm_port_handle_t blue_handle = hpm_pwm_init(BLUE_PWM, BLUE_PWM_OUT, BLUE_PWM_CMP, BLUE_PWM_CMP_INITIAL_ZERO, BLUE_PWM_CLOCK_NAME); if(blue_handle == NULL) { printf("blue pwm init fail!\n"); while(1); } //on led and off led hpm_pwm_start(red_handle, 100, LED_PWM_FREQ); board_delay_ms(1000); hpm_pwm_start(red_handle, 0, LED_PWM_FREQ); board_delay_ms(1000); hpm_pwm_start(green_handle, 100, LED_PWM_FREQ); board_delay_ms(1000); hpm_pwm_start(green_handle, 0, LED_PWM_FREQ); board_delay_ms(1000); hpm_pwm_start(blue_handle, 100, LED_PWM_FREQ); board_delay_ms(1000); hpm_pwm_start(blue_handle, 0, LED_PWM_FREQ); board_delay_ms(1000); while(1) { printf("now red breath...\n"); sample_breath_lamp(red_handle); printf("now green breath...\n"); sample_breath_lamp(green_handle); printf("now bule breath...\n"); sample_breath_lamp(blue_handle); } hpm_pwm_deinit(red_handle); hpm_pwm_deinit(green_handle); hpm_pwm_deinit(blue_handle); return 0;}

5 功能测试

5.1 生成rgb_led工程


工程路径在HPM_SDK 文件夹:“hpm_sdk\samples\rgb_led

a0185e9c-dc59-11ec-b80f-dac502259ad0.png

根据SDK 开发指南文档《HPM6750EVKMINI_UG》中的工程生成步骤,在rgb_led目录下来生成segger embedded studio的工程文件。

a0506e5e-dc59-11ec-b80f-dac502259ad0.png

通过双击工程文件multcore_core0.emProject可以直接进入SES(segger embedded studio) 。

a0cdb080-dc59-11ec-b80f-dac502259ad0.png

双击“multcore_core0.emProject”打开该工程,如下图。

a1182584-dc59-11ec-b80f-dac502259ad0.png

5.2 运行rgb_led

运行rgb_led例程,可以查看到Mini板三色点亮熄灭以及循环呼吸效果。

a1677a08-dc59-11ec-b80f-dac502259ad0.pnga1ed3ce2-dc59-11ec-b80f-dac502259ad0.png


5.3PWM波形查看

通过示波器查看rgb pwm波形,确认频率和占空比是否正确。

A.200KHz,50%占空比

实测:一个周期5us,波峰2.495us。

a269b42a-dc59-11ec-b80f-dac502259ad0.pnga2cc9dce-dc59-11ec-b80f-dac502259ad0.png

B.200KHz, 20%占空比

实测:一个周期5us,波峰:994.7ns,验证通过。

a35c18e6-dc59-11ec-b80f-dac502259ad0.pnga3ef9f58-dc59-11ec-b80f-dac502259ad0.png

C.100KHz, 50%占空比

实测:一个周期10us,波峰4.995us,验证通过。

a4735c08-dc59-11ec-b80f-dac502259ad0.pnga4fd6e8e-dc59-11ec-b80f-dac502259ad0.png

D.100KHz, 10%占空比

实测:一个周期10us,波峰994.8ns,验证通过。

a5852e00-dc59-11ec-b80f-dac502259ad0.pnga63d43a0-dc59-11ec-b80f-dac502259ad0.png

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • PWM
    PWM
    +关注

    关注

    114

    文章

    4963

    浏览量

    210905
收藏 人收藏

    评论

    相关推荐

    怎么MULTISIM生成不同占空比的PWM波?

    怎么MULTISIM生成不同占空比的PWM波?又是怎么在这个软件测量出生成的PWM波的?
    发表于 04-14 22:00

    一种隔绝银迁移的超长寿命轻触开关

    一种隔绝银迁移的轻触开关
    的头像 发表于 04-09 14:40 160次阅读

    电视机上的港源轻触开关#轻触开关# 轻触开关

    电视机轻触开关
    2279019514
    发布于 :2024年03月27日 14:35:40

    中国星坤贴片轻触开关:卓越性能,引领创新

    在现代电子产品中,轻触开关作为人机交互的关键部件,其性能和质量对于用户体验至关重要。中国星坤的 3.0x2.0x0.58 贴片轻触开关以其出色的防水、立式垂直设计和耐热性能,成为了行业内的佼佼者
    的头像 发表于 02-28 15:22 255次阅读
    中国星坤贴片<b class='flag-5'>轻触</b>开关:卓越性能,引领创新

    松下轻触开关高级指南

    从基础知识到选型,尽在此册 何谓轻触开关? 轻触开关是指,按压操作部,实现电流接通或切断的小型微小电流开关。 “轻触(Tactile)”,是 "可触觉感知"的意思,亦即,需要人员识别按压操作
    发表于 01-10 17:27 499次阅读
    松下<b class='flag-5'>轻触</b>开关高级指南

    轻触开关引起开关电源的总损耗原因

    轻触开关引起开关电源的总损耗原因 轻触开关是一种常见的开关类型,可广泛应用于各种电子设备和家用电器中。然而,使用轻触开关会引起开关电源的总损耗。 一、轻触开关的工作原理
    的头像 发表于 12-21 10:51 444次阅读

    轻触开关的工作原理和应用探析

    轻触开关的工作原理和应用探析  轻触开关是一种常见的电子开关装置,在我们的日常生活中被广泛应用于各种电子设备和电路中。它的工作原理是通过轻触开关元件的力学结构和内部电气设计来实现的。本文将详尽、详实
    的头像 发表于 12-21 10:50 1421次阅读

    FS5219A LED 轻触三功能驱动芯片应用介绍

    电子发烧友网站提供《FS5219A LED 轻触三功能驱动芯片应用介绍.pdf》资料免费下载
    发表于 12-18 10:07 0次下载

    如果轻触按键控制电源开和关?

    轻触按键+单片机代替自锁开关
    发表于 11-02 07:28

    轻触按键开关6*6*H贴片

    电子发烧友网站提供《轻触按键开关6*6*H贴片.pdf》资料免费下载
    发表于 10-08 14:52 2次下载

    Arduino的PWM控制代码

    如果需要一个具体的代码示例,我可以为提供一个Arduino的PWM控制代码。Arduino是一款常用的开源电子原型平台,它提供了PWM功能。以下是一个简单的Arduino代码示例,
    发表于 09-21 08:57

    轻触开关规格型号,如何选择轻触开关

    轻触开关是一个电子反射开关,属于电子电器件,具备体积小、质量轻、反应敏锐,普遍适用于汽车仪表台、录音机、遥控器、玩具、验钞笔、健身设备、通信产品、手机、数控切削机等产品。
    的头像 发表于 07-26 10:41 2201次阅读

    MP3320A | 这颗芯,律动多彩呼吸节奏

    点击标题下「MPS芯源系统」可快速关注 WLED(白光LED)为我们带来了白色光芒,但生活也应该充满绚丽多彩的景象,RGB(红绿蓝)LED 就是色彩的使者, RGB+W 驱动精灵 MP3320A
    的头像 发表于 07-20 12:10 582次阅读
    MP3320A | 这颗芯,律动<b class='flag-5'>多彩</b>呼吸节奏

    PWM信号测量模式-同时测量周期和占空比#STC32G12K128 #PWM

    PWM
    学习电子知识
    发布于 :2023年07月17日 01:14:49

    直流电机arduino怎么玩 ESP,驱动芯片AS4950 PWM控制 #pwm #esp #直流电机

    PWM
    学习电子知识
    发布于 :2023年07月17日 01:07:35