0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

涂鸦智能年度关键词出炉:聚焦前沿技术,赋能客户构筑竞争壁垒

涂鸦开发者 2023-01-14 10:15 次阅读

回首2022年,我们见证了全球化IoT开发平台服务商涂鸦智能(NYSE: TUYA,HKEX: 2391)众多“大事件”的发生:成功在香港联交所完成双重上市、涂鸦&支付宝“数字商圈”战略发布、《有什么用》科普IP重磅推出……不知不觉间,涂鸦已在IoT行业留下了浓墨重彩的一笔。

948f10ac-9289-11ed-ad0d-dac502259ad0.jpg

筚路蓝缕,以启山林。当下,全球经济正处于下行周期,涂鸦一路走来,虽坎坷却坚定不移。涂鸦多元业务全面开花,引领IoT行业不断向前的背后,也离不开其独有的战略布局和对企业价值的坚守。

新的一年,机遇挑战并存。为赋能企业加速数智化转型升级,涂鸦基于自身价值理念与战略规划总结出四大年度关键词,并将进一步在这些关键领域及维度持续发力,携手客户决胜2023。接下来就请跟随涂鸦的步伐,看看这些年度关键词究竟是什么?


关键词一

秉持初心,打造开放中立生态

2022年,在全球经济下行的大趋势下,涂鸦仍保持着平台开发者数量稳步增长,截至2022年9月30日,涂鸦IoT开发平台已累计超过64.7万注册开发者。与此同时,基于涂鸦提供的技术、渠道、生态等全方位赋能,全球客户表示将长期看好涂鸦的市场潜力。

例如,韩国排名前3的智能家居品牌KOCOM,通过涂鸦落地了可视化门铃等智能产品;一家全球百年扫地机洗地机品牌、美国头部清洁机器制造商,与涂鸦携手开拓小家电领域;以及巴西最大的驱动制造商Intral、印度综合性移动消费电子产品头部品牌LAVA等都在通过涂鸦,落地各自的智能设备业务。

涂鸦受到大量客户信赖的关键原因,在于涂鸦打造了一个中立、开放的行业生态,客户可以选择符合其自身需求的工具,构建更适合自身发展的解决方案,实现自主可控需求的同时又极大降低研发成本。

因此,在2023年,涂鸦将坚持初心不变,以中立、开放的IoT生态全面赋能客户实现战略转型,共建IoT带来的可持续发展的美好世界。

关键词二

客户第一,洞察全球市场需求

作为全球化IoT开发平台服务商,“客户第一”一直是涂鸦坚持并贯彻的理念。

以客户的灵活性保障为第一要素,涂鸦考虑问题的角度变得更加多元:既携手生态伙伴共同推动行业的创新发展,又以客户视角思考,考虑客户产品的销量和售后,以及C端消费者的用户体验。虽然这个过程很艰难,但结果却令人欣喜,涂鸦的商业嗅觉变得更加敏锐,对客户的当前需求了然于胸,市场反应速度在行业内也是首屈一指。

这也得益于涂鸦独特的全球本地化战略,在该战略的推动下,涂鸦实地扎根全球市场,充分了解当地市场痛点、文化风俗、商业习惯、法律法规等。而后,凭借丰富的免开发方案,涂鸦可以快速响应市场和客户需求,帮助客户抢占市场先机。

例如,在“碳达峰”、“碳中和”的大目标下,企业向低碳化、智慧化转型的需求愈发迫切,但传统的生活生产方式,需要付出更多时间和资金投入,才能满足绿色环保以及节能的需求。

为改变这一情况,涂鸦凭借敏锐的市场洞察力,在家电这个重要的用电场景中,赋能硬件厂商研发出了智能插座。一旦为电器装上智能插座,就能通过手机、语音来设置定时或者远程开启/关闭,轻松减少能源损耗。同时,涂鸦还打造出智慧能源解决方案,客户可以精准掌握能耗情况,实现电器设备的智能调节,以及电源、电网、负荷、储能的闭环管理。

2023年,坚持客户第一的涂鸦,将通过前瞻性的市场部署,助力每一位新老客户都能更好地获取自己的价值,促进企业持续性发展。

关键词三

打造软硬一体解决方案,驱动客户商业增长

IoT浪潮席卷而来,让大量新的商业实践成为可能。日趋激烈的竞争压力下,如何通过软硬一体解决方案的创新为企业发展注入新活力,成为行业亟需解决的普遍性难题。

在此方面,涂鸦做了一个很好的示范。2022年,涂鸦在智慧商业的探索上取得了极大进展,打造了数字商圈以及特约授权服务商等创新的商业模式,除提供软硬一体智慧解决方案外,还在市场、渠道等多方面赋能合作伙伴发展。

例如,由涂鸦和国内领先的数字生活开放平台支付宝共同打造的数字商圈模式,让酒店不仅只是一处落脚点,更是串联周边商业体的“数字商圈联盟中心”,实现酒店和本地商圈的有机联动,真正构造一体化的数字商圈。

而特约授权服务商则是涂鸦推出的一种新型合作模式,在该模式之下,涂鸦会对智能产品供应链进行整合,使客户既具备横向跨场景解决方案的能力,又能纵向满足行业不同客户的需求,并且覆盖国内和海外市场。最重要的是,酒店、租住、商业照明、社区、家居等行业的边界也会被一一打通。

凭借软硬一体的解决方案以及多维度的创新商业模式,涂鸦正成为越来越多企业拓展智慧商业的最佳选择之一。

关键词四

赋能客户战略升级,提升市场竞争力

涂鸦智能是一家以IoT技术、软硬一体解决方案为核心的科技企业,IoT技术的稳定性、安全性,再加上解决方案的差异性、易用性以及强大的功能性和可持续性成为涂鸦帮助客户构筑“护城河”的最强基石。

其中,涂鸦推出的企业级IoT平台部署解决方案——Cube智慧私有云表现尤为突出。

作为一个支撑全行业全场景的IoT产品,Cube智慧私有云集成了涂鸦的研发竞争、智能化、前沿技术、安全合规等优势,经过长达三年的经验累积,不断迭代升级的Cube已赢得了多个重量级客户的青睐。对于这些规模较大、业务复杂、对数据安全要求更高的企业来说,Cube既能助力自身灵活自主地构建IoT平台,大幅节约研发费用,又能使其无缝接入万物智联场景,享有独立且丰富的生态利好,从而在激烈的市场竞争下占据先手优势。

此外,涂鸦2022年在前沿技术创新方面还有一个显眼的特点,即在宽度及深度上不断拓展,从而将越来越多的垂直行业纳入IoT范畴。

例如,涂鸦智能赋能的网关、灯、插座等多款智能产品,获得了全球首批Matter 1.0官方认证,帮助客户更快完成Matter设备开发;推出支持OCPP的智慧解决方案,助力充电桩客户顺利出海;以及携手亚马逊为客户打造更具竞争力的BSS解决方案等,这些都是涂鸦加强技术投入,帮助客户打造竞争壁垒的表现。


94decfac-9289-11ed-ad0d-dac502259ad0.jpg【涂鸦支持Matter解决方案演示台

天文学家第谷布拉赫曾道:“希望是隐藏在群山后的星星,探索是人生道路上倔执的旅人。”作为IoT行业的引领者和深耕者,涂鸦正如一位执着的旅人,一路探索从未止步。2023年,涂鸦智能将一如既往地赋能企业转型升级,携手合作伙伴共获IoT时代商机。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IOT
    IOT
    +关注

    关注

    186

    文章

    4048

    浏览量

    194058
  • 涂鸦智能
    +关注

    关注

    7

    文章

    168

    浏览量

    19343
收藏 人收藏

    评论

    相关推荐

    涂鸦App开发工具App SDK

    我们之前为大家介绍过涂鸦AppSDK的特点和优势,在这一年多时间里,涂鸦成功帮助很多客户实现了有创意的差异化开发,并构筑更具核心竞争力的品牌
    的头像 发表于 05-24 08:15 273次阅读
    <b class='flag-5'>涂鸦</b>App开发工具App SDK

    深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

    的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
    的头像 发表于 02-02 17:00 224次阅读
    深开鸿用三个<b class='flag-5'>关键词</b>,为你解读《2023 OpenHarmony <b class='flag-5'>年度</b>运营报告》

    芯原成都获评“年度技术企业”

    成都市集成电路行业协会在成都天府皇冠假日酒店召开了“成都集成电路产业发展大会”。大会上,芯原微电子 (成都) 有限公司 (以下简称:芯原成都) 获颁“年度技术企业”,这是对芯原成都
    的头像 发表于 01-22 10:23 461次阅读

    涂鸦携HEMS和零碳方案重磅亮相CES 2024,加速智慧能源升级

    聚焦节能领域,致力于通过前沿技术的创新,探索绿色低碳未来。1月9日-12日,涂鸦智能携家庭能源管理系统(HEMS)和零碳方案重磅亮相CES2024,并提出“AllT
    的头像 发表于 01-13 08:15 371次阅读
    <b class='flag-5'>涂鸦</b>携HEMS和零碳方案重磅亮相CES 2024,加速<b class='flag-5'>赋</b><b class='flag-5'>能</b>智慧能源升级

    中科曙光算力服务年度盘点 四大关键词

    总结为以下四个关键词聚焦 —  曙光算力服务紧跟市场趋势,积极参与信通院新一代算力网技术创新联盟、首批可信算力云服务-智能平台和“算力星图”计划。通过深度参与行业标准和
    的头像 发表于 01-04 10:34 374次阅读

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 343次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    英码科技精彩亮相火爆的IOTE 2023,多面AIoT产业发展!

    产品,包括覆盖多层次算力的智能工作站(边缘计算盒子)、AI加速卡等;同时向大家展示自研的AI技术服务——“深元”0代码移植工具链和创新性的行业解决方案,更多AIoT产业生态企业快速
    发表于 09-25 10:03

    “梦想人VIP客户走进博世中心”活动圆满落幕!

    的热情参加,并收获颇多好评。活动伊始,梦想人VIP客户们来到博世智能制造中心展厅,这里集中展示了博世公司相关介绍及近年来在智能制造领域取
    的头像 发表于 09-22 08:11 1748次阅读
    “梦想人VIP<b class='flag-5'>客户</b>走进博世<b class='flag-5'>赋</b><b class='flag-5'>能</b>中心”活动圆满落幕!

    中国信通院发布“2023云计算十大关键词

    来源:中国信通院7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
    的头像 发表于 07-31 23:44 528次阅读
    中国信通院发布“2023云计算十大<b class='flag-5'>关键词</b>”

    大模型智能驾驶的关键技术

    7月,2023 WAIC世界人工智能大会大模型与智能驾驶创新高端论坛在上海张江举行,中智行首席科学家任冬淳受邀出席,并与各行业技术专家针对大模型如何
    的头像 发表于 07-13 15:29 412次阅读

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 3次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    高通亮相世界人工智能大会,描绘混合AI智能未来

    7月6日至8日,2023世界人工智能大会(WAIC)在上海举行。本届大会以“智联世界 生成未来”为主题,聚焦AI前沿技术和产业发展。高通公司连续第六年参会,并参与多场论坛,从技术研究、
    的头像 发表于 07-07 18:55 559次阅读
    高通亮相世界人工<b class='flag-5'>智能</b>大会,描绘混合AI<b class='flag-5'>赋</b><b class='flag-5'>能</b>的<b class='flag-5'>智能</b>未来