0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vitis AI工具概述

jf_pJlTbmA9 来源:芯选 作者:芯选 2023-07-07 14:14 次阅读

100569634-296783-tu1dpuxuanxiang.png

图 1. DPU 选项

DPU 命名
DPU 名称的不同字段用于表示不同的特征或作用,命名方案如下图所示:

100569634-296784-tu2dpumingmingfangan.png

图 2. DPU 命名方案

Zynq UltraScale+ MPSoC:DPUCZDX8G
DPUCZDX8G IP 针对 Zynq UltraScale+ MPSoC 进行了最优化。您可将此 IP 作为块集成到选定的 Zynq UltraScale+ MPSoC 的可编程逻辑 (PL) 中,并直接连接到处理器系统 (PS)。DPU 可由用户配置且包含多个参数,用户可通过指定这些参数来对 PL 资源进行最优化,或者也可以自定义启用的功能。如要在自定义的 AI 工程或产品中集成 DPU,请访问Vitis-AI/dsa/DPU-TRD at master · Xilinx/Vitis-AI · GitHub。

100569634-296785-tu3dpuczdx8gjiagou.png

图 3. DPUCZDX8G 架构

Alveo U50LV/U55C 卡:DPUCAHX8H
赛灵思 DPUCAHX8H DPU 是专为卷积神经网络最优化的可编程引擎,主要适用于高吞吐量应用。本单元包含高性能调度器模块、混合计算阵列模块、指令提取单元模块和全局存储器池模块。DPU 使用专用指令集,从而支持诸多卷积神经网络的有效实现。其中部署的一些卷积神经网络示例包括 VGG、ResNet、GoogLeNet、YOLO、SSD、MobileNet 和 FPN。 DPU IP 可实现到选定的 Alveo 开发板的 PL 中。DPU 需要通过指令才能为输入图像、临时数据和输出数据实现神经网络和可访问的存储器位置。PL 上运行的用户定义单元也需要执行必要的配置、注入指令、服务中断和协调数据传输。 DPU 的顶层模块框图如下图所示。

100569634-296786-tu4dpucahx8hdingcengmokuaikuangtu.png

图 4. DPUCAHX8H 顶层模块框图

Alveo U200/U250 卡:DPUCADF8H
DPUCADF8H 是专为 Alveo U200/U250 卡最优化的 DPU,适用于高吞吐量应用。DPUCADF8H 的关键特征如下:

以吞吐量为导向的高效计算引擎:根据不同工作负载,吞吐量可改善达 1.5~2.0 倍

广泛的卷积神经网络支持

对剪枝卷积神经网络友好

专为高分辨率图像而最优化

顶层模块框图如下图所示:

100569634-296787-tu5dpucadf8hjiagou.png

图 5. DPUCADF8H 架构

Versal AI Core 系列:DPUCVDX8G
DPUCVDX8G 是高性能通用 CNN 处理引擎,针对 Versal AI Core 系列进行了最优化。相比传统 FPGACPUGPU,Versal 器件可提供卓越的性能/功耗比。DPUCVDX8G 由 AI 引擎 和 PL 电路组成。此 IP 可由用户配置且包含多个参数,用户可通过指定这些参数来对 AI 引擎和 PL 资源进行最优化,或者自定义功能。 DPUCVDX8G 的顶层模块框图如下图所示。

100569634-296788-tu6dpucvdx8gjiagou.png

图 6. DPUCVDX8G 架构

Versal AI Core 系列:DPUCVDX8H
DPUCVDX8H 是高性能、高吞吐量通用 CNN 处理引擎,针对 Versal AI Core 系列进行了最优化。除了传统程序逻辑之外,Versal 器件还集成了高性能 AI 引擎阵列、高带宽 NoC、DDR/LPDDR 控制器和其它高速接口,与传统 FPGA、CPU 和 GPU 相比,可提供出色的性能功耗比。DPUCVDX8H 在 Versal 器件上实现,以便充分利用这些优势。您可通过配置参数来满足您的数据中心应用要求。 DPUCVDX8H 的顶层模块框图如下图所示。

100569634-296789-tu7dpucvdx8hmokuaikuangtu.png

图 7. DPUCVDX8H 模块框图

Vitis AI Model Zoo
Vitis AI Model Zoo 包含经过最优化的深度学习模型,可在赛灵思平台上加速部署深度学习推断。这些模型涵盖了不同的应用,包括 ADAS/AD、视频监控机器人学和数据中心等。您可从这些经过预训练的模型开始着手,享受深度学习加速所带来的诸多利益。 如需了解更多信息,请参阅 GitHub 上的Vitis AI Model Zoo。

100569634-296790-tu8vitisaimodelzoo.png

图 8. Vitis AI Model Zoo

Vitis AI 优化器
借助世界领先的模型压缩技术,您可在保证最低限度的精度降级的前提下,将模型复杂性降低 5 到 50 倍。如需了解有关 Vitis AI 优化器的信息,请参阅 Vitis AI 优化器用户指南(UG1333)。 Vitis AI 优化器需商用许可证方可运行。请与赛灵思销售代表联系以获取更多信息。

100569634-296791-tu9vitisaiyouhuaqi.png

图 9. Vitis AI 优化器

Vitis AI 量化器
通过将 32 位浮点权重和激活转换为定点(如 INT8),Vitis AI 量化器可降低计算复杂性,而不会损失预测精度。定点网络模型所需存储器带宽较少,因此相比浮点模型,速度更快且能效更高。

100569634-296792-tu10vitisailianghuaqi.png

图 10. Vitis AI 量化器

Vitis AI 编译器
Vitis AI 编译器可将 AI 模型映射到高效的指令集和数据流模型。它还可尽可能执行复杂的最优化操作,例如,层融合、指令调度和复用片上存储器。

100569634-296793-tu11vitisaibianyiqi.png

图 11. Vitis AI 编译器

Vitis AI Profiler
Vitis AI Profiler 可用于对 AI 应用进行性能剖析和可视化,以在不同器件之间查找瓶颈并分配计算资源。它使用方便且无需更改任何代码。它可追踪函数调用和运行时,也可收集硬件信息,包括 CPU、DPU 和存储器利用率。

100569634-296794-tu12vitisaiprofiler.png

图 12. Vitis AI Profiler

Vitis AI 库
Vitis AI 库是一组高层次库和 API,专为利用 DPU 高效执行 AI 推断而构建。它是基于 Vitis AI 运行时利用 Vitis 运行时统一 API 来构建的,能够为 XRT 提供完整支持。 Vitis AI 库通过封装诸多高效且高质量的神经网络,提供易用且统一的接口。由此可简化深度学习神经网络的使用,对于不具备深度学习或 FPGA 知识的用户也是如此。Vitis AI 库使您能够专注于开发自己的应用,而不是底层硬件。

100569634-296795-tu13vitisaiku.png

图 13. Vitis AI 库

Vitis AI 运行时
Vitis AI 运行时支持应用为云端和边缘器件使用统一的高层次运行时 API,实现无缝高效的云端到边缘部署。 AI 运行时 API 的功能如下所述:

向加速器异步提交作业

从加速器异步收集作业

C++Python 实现

支持多线程和多进程执行

Vitis AI 运行时 (VART) 是下一代运行时,适合基于 DPUCZDX8G、DPUCADF8H、DPUCAHX8H、DPUCVDX8G 和 DPUCVDX8H 的器件。

DPUCZDX8G 用于边缘器件,如 ZCU102 和 ZCU104 评估板以及 KV260 入门套件。

DPUCADX8G 和 DPUCADF8H 用于云端器件,例如 Alveo U200 和 U250 卡。

DPUCAHX8H 用于云端器件,例如 Alveo U50LV 和 U55C 卡。

DPUCVDX8G 用于 Versal 评估板,例如 VCK190 开发板。

DPUCVDX8H 用于 Versal ACAP VCK5000 开发板。

VART 框架如下图所示。对于此 Vitis AI 版本,VART 基于 XRT。XIR 对应赛灵思中间表示形式 (Xilinx Intermediate Representation)。

100569634-296796-tu14vartzhan.png

图 14. VART 栈

文章来源:芯选


审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 处理器
    +关注

    关注

    68

    文章

    18946

    浏览量

    227375
  • AI
    AI
    +关注

    关注

    87

    文章

    28996

    浏览量

    266388
  • DPU
    DPU
    +关注

    关注

    0

    文章

    347

    浏览量

    24048
  • Vitis
    +关注

    关注

    0

    文章

    145

    浏览量

    7316
收藏 人收藏

    评论

    相关推荐

    Vitis AI Model Zone软件平台具备哪些功能?

    Vitis AI Model Zone软件平台具备哪些功能?Vitis AI Model Zone软件平台的应用范围包括哪些?
    发表于 07-09 06:44

    【KV260视觉入门套件试用体验】部署vitis-ai环境以及测试demo

    概述Vitis AI 用户指南 (UG1414) • 阅读器 • AMD 自适应计算文档门户 (xilinx.com) https://docs.xilinx.com/r/3.0-%E7%AE
    发表于 08-27 23:35

    【KV260视觉入门套件试用体验】Vitis AI 初次体验

    一、基础环境 硬件:KV260视觉入门套件 摄像头:海康720P USB摄像头(因为部署Vitis AI之后懒得poweroff插MIPI camera了,直接USB上) 软件:Ubuntu
    发表于 09-10 13:15

    【KV260视觉入门套件试用体验】部署DPU镜像并运行Vitis AI图像分类示例程序

    和 Alveo 数据中心加速卡上释放了 AI 加速的全部潜力。 VitisAI 解决方案由三个主要组件组成: 深度学习处理器单元 (DPU),用于优化 ML 模型推理的硬件引擎。 模型开发
    发表于 09-10 23:01

    【KV260视觉入门套件试用体验】五、VITis AI (人脸检测和人体检测)

    一、DPU 镜像环境配置 官方镜像已经安装好了可以在安装相关配置,示例来源Vitis AI Library用户指南3.0版本,首先需要安装DPU镜像。 1.1、克隆Vitis AI仓库
    发表于 09-26 16:22

    【KV260视觉入门套件试用体验】六、VITis AI车牌检测&车牌识别

    model = argv[1]; return vitis::ai::main_for_jpeg_demo( argc, argv, [model] { return vitis::ai
    发表于 09-26 16:28

    【KV260视觉入门套件试用体验】基于Vitis AI的ADAS目标识别

    一、基础知识 (一)VitisAI开发环境 VitisAI开发环境可在赛灵思硬件平台上加速 AI 推断,包括边缘器件和 Alveo™
    发表于 09-27 23:21

    【KV260视觉入门套件试用体验】Vitis-AI加速的YOLOX视频目标检测示例体验和原理解析

    (xilinx.com) Vitis AIVitisAI 3.0 documentation (xilinx.github.io) Vi
    发表于 10-06 23:32

    【KV260视觉入门套件试用体验】Vitis AI 构建开发环境,并使用inspector检查模型

    视觉和自然语言处理模型,以及相应的推断接口。 提供多种工具和框架,如 Vitis Model Composer, Vitis Video Analytics SDK, Vitis
    发表于 10-14 15:34

    【KV260视觉入门套件试用体验】Vitis AI Library体验之OCR识别

    速度、用户界面的友好性,产品的稳定性,易用性及可行性等。 一、Vitis AI Library Vitis AI Library是一组高层次库和 API,专为利用 DPU 高效执行
    发表于 10-16 23:25

    基于软件的Vitis AI 2.0加速解决方案

    Vitis AI 解决方案更易于开发者使用,给边缘和数据中心带来进一步的性能提升。本篇文章将会介绍新产品特性,具体包括模型、软件工具、深度学习处理单元以及最新的性能信息。
    的头像 发表于 03-15 17:39 2231次阅读

    Vitis HLS工具简介及设计流程

    Vitis HLS 是一种高层次综合工具,支持将 C、C++ 和 OpenCL 函数硬连线到器件逻辑互连结构和 RAM/DSP 块上。Vitis HLS 可在Vitis 应用加速开发流
    的头像 发表于 05-25 09:43 2227次阅读

    Vitis AI RNN用户指南

    Vitis AI 递归神经网络 (RNN) 工具VitisAI 开发环境的一个子模块,专注于在 Xilinx® 硬件平台(包括 Al
    发表于 09-13 17:32 0次下载
    <b class='flag-5'>Vitis</b> <b class='flag-5'>AI</b> RNN用户指南

    Vitis AI用户指南

    电子发烧友网站提供《Vitis AI用户指南.pdf》资料免费下载
    发表于 09-13 15:00 0次下载
    <b class='flag-5'>Vitis</b> <b class='flag-5'>AI</b>用户指南

    Vitis AI用户指南

    电子发烧友网站提供《Vitis AI用户指南.pdf》资料免费下载
    发表于 01-03 10:51 1次下载
    <b class='flag-5'>Vitis</b> <b class='flag-5'>AI</b>用户指南