0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

什么是同步有限状态机?为什么要用状态机?怎么表示状态机?

冬至子 来源:摩尔吧 作者:摩尔吧 2023-07-17 15:00 次阅读

什么是同步有限状态机?

同步:所有的状态跳转都是在时钟的作用下进行

有限:状态的个数是有限的

图片

为什么要用状态机?

FPGA是并行处理的,如果我们想要处理具有前后顺序的事件时,就需要引入状态机。状态机的每一个状态代表一个事件,从执行当前事件到执行另一事件我们称之为状态的跳转或状态的转移。

状态机特别适合描述那些发生有先后顺序或时序规律的事情。小到计数器大到微处理器都适合用状态机描述。

怎么表示状态机?

图片

图片

状态机需要具备什么?

  • 输入:根据输入确定是否需要进行状态跳转
  • 输出:当前时刻状态要做的事情是什么
  • 状态:要处理的事情

状态机的设计步骤是怎么样的?

  • 首先分析输入、输出有哪些,需要多少个状态
  • 其次根据分析绘制状态转移图
  • 设计实现的模块
  • 状态机代码的编写

FIFO的使用

什么是FIFO?

FIFO(First In First Out)即先入先出,是一种数据缓冲器,用来实现数据先入先出的读/写方式。FIFO有一个写端口和一个读端口外部无需使用者控制地址,使用方便。

根据FIFO工作的时钟域可以将FIFO分为同步FIFO和异步FIFO。同步FIFO是指读时钟和写时钟为同一个时钟。异步FIFO是指读/写时钟不一致,是相互独立的。

FIFO的作用是什么?

  • 用于缓存数据
  • 用于做多bit数据的跨时钟域处理
  • 用于做时钟、位宽不匹配问题的接口

如何使用FIFO?

  • 在一定时间内,写数据的总带宽一定要小于等于读数据的总带宽
  • 控制好FIFO的关键信号,读写时钟、读写使能、空满标志信号
  • FIFO一定不能写满、也不能读空,要考虑FIFO的背靠背问题,设置好存储深度

FPGA设计中的技巧

一、使能信号(en)、标志信号(flag)、计数器(cnt)

二、模块框图设计——方案的确定

三、波形设计——代码的实现

四、代码规范——可复用性和效率

五、仿真调试——修改查错

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA设计
    +关注

    关注

    9

    文章

    428

    浏览量

    26440
  • 有限状态机
    +关注

    关注

    0

    文章

    52

    浏览量

    10307
  • 状态机
    +关注

    关注

    2

    文章

    489

    浏览量

    27428
  • FIFO存储
    +关注

    关注

    0

    文章

    103

    浏览量

    5950
  • 数据缓冲器
    +关注

    关注

    1

    文章

    5

    浏览量

    1833
收藏 人收藏

    评论

    相关推荐

    SaberRD状态机建模工具介绍(一)什么是状态机建模

    状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
    的头像 发表于 12-05 09:51 1422次阅读
    SaberRD<b class='flag-5'>状态机</b>建模工具介绍(一)什么是<b class='flag-5'>状态机</b>建模

    Verilog状态机+设计实例

    的是有限状态机(Finite-State Machine,FSM),简称为状态机表示有限状态以及这些
    的头像 发表于 02-12 19:07 3377次阅读
    Verilog<b class='flag-5'>状态机</b>+设计实例

    有限状态机有什么类型?

    在实际的应用中,根据有限状态机是否使用输入信号,设计人员经常将其分为Moore型有限状态机和Mealy型有限状态机两种类型。
    发表于 04-06 09:00

    什么是有限状态机

    在嵌入式,机器人领域,由于多的复杂逻辑状态,我们编写程序的时候不得不考虑很多种情况,容易造成功能间的冲突。有限状态机(finite-state machine),简称状态机,是一种表示
    发表于 12-20 06:51

    有限状态机_FSM_的实现

    本文主要介绍了IP模块的有限状态机的实现。
    发表于 03-22 15:42 0次下载

    有限状态机的建模与优化设计

    本文提出一种优秀 、高效的 Verilog HDL 描述方式来进行有限状态机设计 介绍了 有限状态机的建模原则 并通过一个可综合的实例 验证了 该方法设计的有限状态机在面积和功耗上的优势。
    发表于 03-22 15:19 1次下载

    VHDL有限状态机设计-ST

    EDA的有限状态机,广义而言是指只要涉及触发器的电路,无论电路大小都可以归结为状态机有限状态机设计在学习EDA时是很重要的一章。
    发表于 06-08 16:46 3次下载

    初学者对有限状态机(FSM)的设计的认识

    有限状态机(FSM)是一种常见的电路,由时序电路和组合电路组成。设计有限状态机的第一步是确定采用Moore状态机还是采用Mealy状态机
    发表于 02-11 13:51 4210次阅读
    初学者对<b class='flag-5'>有限状态机</b>(FSM)的设计的认识

    如何使用FPGA实现序列检测有限状态机

    有限状态机是绝大部分控制电路的核心结构, 是表示有限状态以及在这些状态之间转移和动作等行为的数学模型。
    发表于 11-04 17:17 12次下载
    如何使用FPGA实现序列检测<b class='flag-5'>有限状态机</b>

    基于事件驱动的有限状态机介绍

      一、介绍 EFSM(event finite state machine,事件驱动型有限状态机),是一个基于事件驱动的有限状态机,主要应用于嵌入式设备的软件系统中。 EFSM的设计原则是:简单
    的头像 发表于 11-16 15:29 2262次阅读

    如何以面向对象的思想设计有限状态机

    有限状态机又称有限状态自动机,简称状态机,是表示有限状态
    发表于 02-07 11:23 4次下载
    如何以面向对象的思想设计<b class='flag-5'>有限状态机</b>

    基于事件驱动的有限状态机介绍

    EFSM(event finite state machine,事件驱动型有限状态机),是一个基于事件驱动的有限状态机,主要应用于嵌入式设备的软件系统中。
    的头像 发表于 02-11 10:17 974次阅读

    Verilog状态机的类型

    有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限状态以及在这些
    的头像 发表于 06-01 15:23 1705次阅读
    Verilog<b class='flag-5'>状态机</b>的类型

    有限状态机分割设计

    有限状态机分割设计,其实质就是一个状态机分割成多个状态机
    的头像 发表于 10-09 10:47 563次阅读

    什么是状态机状态机的种类与实现

    状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化的模型。在芯片设计中,
    的头像 发表于 10-19 10:27 8491次阅读