0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CoWoS是什么?CoWoS有几种变体?

jf_BPGiaoE5 来源:半导体风向标 2023-07-19 09:59 次阅读

真正的瓶颈-CoWoS 《下一个风口?》

尽管Nvidia试图大幅增加产量,最高端的Nvidia GPU H100将一直售罄到明年第一季度。

真正的瓶颈是CoWoS容量。CoWoS是台积电的一种“2.5D”封装技术,其中多个有源硅芯片(通常的配置是逻辑和HBM堆栈)集成在无源硅中介层上。中介层充当顶部有源芯片的通信层。然后将内插器和有源硅连接到包含要放置在系统PCB上的I/O的封装基板。

5bdee926-2569-11ee-962d-dac502259ad0.png

HBM和CoWoS是相辅相成的。HBM的高焊盘数和短迹线长度要求需要2.5D先进封装技术,如CoWoS,以实现这种密集的短连接,这在PCB甚至封装基板上是无法实现的。CoWoS是主流封装技术,以合理的成本提供最高的互连密度和最大的封装尺寸。由于目前几乎所有的HBM系统都封装在Co Wos上,所有先进的人工智能加速器都使用HBM,因此几乎所有领先的数据中心GPU都是台积电封装在Co Wos上的。

虽然3D封装技术,如台积电的SoIC可以直接在逻辑上堆叠芯片,但由于热量和成本,它对HBM没有意义。SoIC在互连密度方面处于不同的数量级,更适合用芯片堆叠来扩展片内缓存,这一点可以从AMD的3D V-Cache解决方案中看出。AMD的Xilinx也是多年前将多个FPGA芯片组合在一起的第一批CoWoS用户。

5c11cd82-2569-11ee-962d-dac502259ad0.png

虽然还有一些其他应用程序使用CoWoS,例如网络(其中一些用于网络GPU集群,如Broadcom的Jericho3-AI)、超级计算和FPGA,但绝大多数CoWoS需求来自人工智能。与半导体供应链的其他部分不同,其他主要终端市场的疲软意味着有足够的闲置空间来吸收GPU需求的巨大增长,CoWoS和HBM已经是大多数面向人工智能的技术,因此所有闲置空间已在第一季度被吸收。随着GPU需求的爆炸式增长,供应链中的这些部分无法跟上并成为GPU供应的瓶颈。

台积电首席执行官魏哲家表示:“就在最近这两天,我接到一个客户的电话,要求大幅增加后端容量,特别是在CoWoS中。我们仍在评估这一点。”

台积电一直在为更多的封装需求做好准备,但可能没想到这一波生成式人工智能需求来得如此之快。6月,台积电宣布在竹南开设先进后端晶圆厂6。该晶圆厂占地14.3公顷足以容纳每年100万片晶圆的3DFabric产能。这不仅包括CoWoS,还包括SoIC和InFO技术。

有趣的是,该工厂比台积电其他封装工厂的总和还要大。虽然这只是洁净室空间,远未配备齐全的工具来实际提供如此大的容量,但很明显,台积电正在做好准备,预计对其先进封装解决方案的需求会增加。

5c94dfec-2569-11ee-962d-dac502259ad0.png

确实有点帮助的是,在Wafer级别的扇出封装能力(主要用于智能手机SoC)方面存在不足,其中一些可以在CoWoS过程中重新使用。特别是有一些重叠的过程,如沉积,电镀,反磨,成型,放置,和RDL形成。我们将通过CoWoS流程和所有的公司谁看到了积极的需求,因为它在一个后续部分。设备供应链中存在着有意义的转变。

还有来自英特尔三星和OSAT的其他2.5D封装技术(如ASE的FOEB),CoWoS是唯一在大容量中使用的技术,因为TSMC是人工智能加速器的最主要的晶圆厂。甚至英特尔哈巴纳的加速器都是由台积电制造和封装的。

CoWoS变体

CoWoS有几种变体,但原始CoWoS-S仍然是大批量生产中的唯一配置。这是如上所述的经典配置:逻辑芯片+HBM芯片通过带有TSV的硅基中介层连接。然后将中介层放置在有机封装基板上。

5d12f0bc-2569-11ee-962d-dac502259ad0.png

硅中介层的一项支持技术是一种称为“掩模版缝合”的技术。由于光刻工具狭缝/扫描最大尺寸,芯片的最大尺寸通常为26mmx33mm。随着GPU芯片本身接近这一极限,并且还需要在其周围安装HBM,中介层需要很大,并且将远远超出这一标线极限。台积电解决了这与网线拼接,这使他们的模式插入式多次的刻线限制(目前最高3.5倍与AMD MI 300)。

5da31fde-2569-11ee-962d-dac502259ad0.png

CoWOS-R使用在具有再分布层(RDL)的有机衬底上,而不是硅中间层。这是一个成本较低的变体,牺牲的I/O密度,由于使用有机RDL,而不是基于硅的插入物。正如我们已经详细介绍的,AMD的MI300最初是在CoWoS-R上设计的,但我们认为,由于翘曲和热稳定性问题,AMD不得不使用CoWoS-S。

5ead613c-2569-11ee-962d-dac502259ad0.png

CoWoS-L预计将在今年晚些时候推出,它采用RDL内插器,但包含有源和/或无源硅桥,用于嵌入内插器中的管芯到管芯互连。这是台积电的相当于英特尔的EMIB封装技术。这将允许更大的封装尺寸,因为硅插入物越来越难以扩展。MI300 Co WO S-S可能是一个单一的硅插入器的限制附近。

5edebb42-2569-11ee-962d-dac502259ad0.png

这将是更经济的更大的设计去与CoWoS-L台积电正在研究一个CoWoS-L的超级载波内插器在6倍分划板的大小。对于CoWOS-S,他们没有提到 4x reticle 之外的任何内容。这是因为硅插入物的脆弱性。这种硅中间层只有100微米厚,在工艺流程中,随着中间层尺寸的增大,存在分层或开裂的风险。

据 DigiTimes 报道,台积电正在加快与后端设备供应商的合作,因为它开始了晶圆基板上芯片(CoWoS)封装产能的扩张计划。英伟达在人工智能和高性能计算领域占据主导地位的计算GPU短缺,主要归因于台积电有限的CoWoS封装生产能力。

有报道称,台积电计划到 2023 年底将其目前的 CoWoS 产能从每月 8,000 片晶圆增加到每月 11,000 片晶圆,然后到 2024 年底增加到每月 14,500 至 16,600 片晶圆左右。此前有传言称英伟达将提高 CoWoS 产能到 2024 年底,每月生产 20,000 片晶圆。请记住,这些信息来自非官方来源,可能不准确。

Nvidia、亚马逊博通、思科和赛灵思等主要科技巨头都增加了对台积电先进 CoWoS 封装的需求,并消耗了他们能获得的每一片晶圆。据 DigiTimes 报道,台积电因此被迫重新订购必要的设备和材料。人工智能服务器的产量显着增加,刺激了对这些先进封装服务本已强烈的需求。

Nvidia 已经预订了台积电明年可用 CoWoS 产能的 40%。然而,报告称,由于严重短缺,Nvidia 已开始探索与其二级供应商的选择,向 Amkor Technology 和联华电子 (UMC) 下订单,尽管这些订单相对较小。

台积电还开始实施战略变革,例如将其部分 InFO 产能从台湾北部龙潭工厂重新分配到台湾南部科学园区 (STSP)。它还在快速推进龙潭基地的扩建。此外,台积电正在增加其内部 CoWoS 产量,同时将部分 OS 制造外包给其他封装和测试 (OSAT) 公司。例如,Siliconware Precision Industries (SPIL) 就是这一外包计划的受益者之一。

台积电前段时间开设了先进后端 Fab 6 工厂。它将扩大其前端 3D 堆叠 SoIC(CoW、WoW)技术和后端 3D 封装方法(InFO、CoWoS)的先进封装产能。目前,该晶圆厂已为 SoIC 做好准备。先进后端 Fab 6 每年可处理约 100 万片 300 毫米晶圆,每年进行超过 1000 万小时的测试,其洁净室空间大于台积电所有其他先进封装设施的洁净室空间总和。

Advanced Backend Fab 6 最令人印象深刻的功能之一是广泛的五合一智能自动化物料搬运系统。该系统控制生产流程并立即检测缺陷,从而提高良率。这对于 AMD MI300 等复杂的多小芯片组件至关重要,因为封装缺陷会立即导致所有小芯片无法使用,从而导致重大损失。该工厂的数据处理能力比平均速度快 500 倍,可以维护全面的生产记录并跟踪其处理的每个芯片。

Nvidia 将 CoWoS 用于其非常成功的 A100、A30、A800、H100 和 H800 计算 GPU。AMD 的 Instinct MI100、Instinct MI200/MI200/MI250X 以及即将推出的 Instinct MI300 也使用 CoWoS。






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 加速器
    +关注

    关注

    2

    文章

    769

    浏览量

    36773
  • 人工智能
    +关注

    关注

    1781

    文章

    44911

    浏览量

    232322
  • FPGA芯片
    +关注

    关注

    3

    文章

    246

    浏览量

    39587
  • 硅芯片
    +关注

    关注

    0

    文章

    87

    浏览量

    16852
  • CoWoS
    +关注

    关注

    0

    文章

    106

    浏览量

    10354

原文标题:CoWoS是什么?

文章出处:【微信号:光刻人的世界,微信公众号:光刻人的世界】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    台积电嘉义CoWoS厂施工暂停,疑似发现古遗迹

    近日,台积电在中国台湾嘉义科学园区规划建设的两座CoWoS先进封装厂的建设工作遭遇波折。原计划中,第一座CoWoS厂已于今年5月动工,进行地质勘探工作。然而,施工现场却在6月初因发现疑似遗迹而暂停施工。
    的头像 发表于 06-19 14:45 415次阅读

    CoWoS封装在Chiplet中的信号及电源完整性介绍

    基于 CoWoS-R 技术的 UCIe 协议与 IPD 的高速互连是小芯片集成和 HPC 应用的重要平台。
    的头像 发表于 04-20 17:48 901次阅读
    <b class='flag-5'>CoWoS</b>封装在Chiplet中的信号及电源完整性介绍

    曝台积电考虑引进CoWoS技术

    随着全球半导体市场的持续繁荣和技术的不断进步,台积电作为全球领先的半导体制造企业,近日传出正在考虑在日本建立先进的封装产能。这一举措不仅可能改变日本半导体产业的格局,更可能标志着台积电首次对外输出其独家的CoWoS封装技术。
    的头像 发表于 03-18 13:43 491次阅读

    CoWoS封装产能限制AI芯片出货量

    晶圆厂设备制造商称,台积电的可用CoWoS产能仍不足以满足需求。消息人士称,尽管台积电努力加快设备改造,但到2023年底,CoWoS的月产能仅为15000片晶圆。
    的头像 发表于 01-19 11:14 632次阅读

    AMD寻求CoWoS产能,以拓展AI芯片市场

     据了解,台积电公司(TSMC)的CoWoS产能已经饱和,且未来扩产计划主要服务于英伟达,为满足AMD需求新建生产线需耗时6—9个月。据此推测,AMD可能会寻找具有类似CoWoS 封装技术的其他制造商合作,日月光、安靠(Amkor)、力成以及京元电或许是首选对象。
    的头像 发表于 01-03 14:07 325次阅读

    五大客户追单!台积电CoWoS明年增产20%

    台积电总裁魏哲家曾表示:“计划到2024年将cowos生产能力增加一倍,但总生产能力从2023年到2024年顾客需求非常大,到2025年将增加一倍以上。”
    的头像 发表于 11-14 11:24 438次阅读

    报告称台积电改机增CoWoS产能 预估明年倍增

    在展望明年cowos生产能力状况时,法人预测台积电明年cowos的年生产能力将增加100%,其中英伟达将占tsmc cowos生产能力的40%左右,amd将占8%左右。台积电以外的供应链可以增加20%的设备。
    的头像 发表于 11-08 14:29 431次阅读

    CoWoS产能不足 传台积电启动第三波设备追单

    几个月前,英伟达 ai gpu的需求激增,导致tsmc组装cowos先进产品的能力严重不足。tsmc总经理魏哲家此前曾在与顾客的电话会议上表示,要求扩大cowos的生产能力。
    的头像 发表于 09-12 09:53 451次阅读

    传台积电将CoWoS急单价格提高20%

    外资预测,台积电目前的cowos月生产能力将从1万个左右增加到1.1万个左右,到今年年底将增加到1.2万个,到明年年底将从1.8万个增加到2万个。非台积电供应商cowos的月生产能力达3000个,明年年底可增至5000个。
    的头像 发表于 08-30 11:45 544次阅读

    chiplet和cowos的关系

    chiplet和cowos的关系 Chiplet和CoWoS是现代半导体工业中的两种关键概念。两者都具有很高的技术含量和经济意义。本文将详细介绍Chiplet和CoWoS的概念、优点、应用以
    的头像 发表于 08-25 14:49 2495次阅读

    英伟达将取台积电6成CoWoS产能?

    据台媒电子时报报道,数月前英伟达AI GPU需求急速导致台积电CoWoS先进封装产能严重不足,近日台积电总裁魏哲家坦言,先前与客户电话会议,要求扩大CoWoS产能。
    的头像 发表于 08-09 09:35 1057次阅读
    英伟达将取台积电6成<b class='flag-5'>CoWoS</b>产能?

    CoWoS先进封装是什么?

    随着chatGPT横空出世,生成式AI红遍全球,带动AI芯片的需求强劲,英伟达(NVIDIA)的H100、A100全部由台积电代工,并使用台积电的CoWoS先进封装技术,除了英伟达外,AMD MI300也导入CoWoS技术,造成CoWo
    的头像 发表于 07-31 12:49 2838次阅读

    CoWoS和HBM的供应链分析

    CoWos是最流行的 GPU 和 AI 加速器封装技术。
    的头像 发表于 07-30 14:25 1868次阅读
    <b class='flag-5'>CoWoS</b>和HBM的供应链分析

    台积电CoWoS扩产缓不济急,传英伟达引入联电+安靠二供

    报告台积电的2023年cowos生产能力比2022年成倍增加,每年最少12万个cowos晶片将具备生产能力,英伟达(nbiia)是第一位顾客,2023年第二、三大客户分别博通、AMD,而2024年亚马逊有望跻身第三大CoWoS
    的头像 发表于 07-17 09:49 545次阅读

    全面详解CoWoS封装技术特点及优势

    CoWoS 技术概念,简单来说是先将半导体芯片(像是处理器、记忆体等),一同放在硅中介层上,再透过Chip on Wafer(CoW)的封装制程连接至底层基板上。
    发表于 07-11 10:06 6222次阅读
    全面详解<b class='flag-5'>CoWoS</b>封装技术特点及优势