0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

经典设计思想:乒乓操作

FPGA快乐学习 来源:FPGA快乐学习 2023-08-26 15:54 次阅读

乒乓操作是一个主要用于数据流控制的处理技巧,典型的乒乓操作如图3.19所示。

738891d0-43be-11ee-a2ef-92fbcf53809c.jpg

图3.19 乒乓操作示意图

外部输入数据流通过“输入数据选择控制”模块分时交替送入两个数据缓存模块中,数据缓存模块通常是片内存储器,如双口RAM或FIFO等。

在第1个时间周期,“输入数据选择控制”模块将输入的数据流缓存到“数据缓存1”模块。在第2个时间周期,“输入数据选择控制”模块做了切换,将输入的数据流缓存到“数据缓冲2”模块;与此同时,“输出数据选择控制”模块将“数据缓存1”模块在第1个时间周期缓存的数据流送到“数据后处理”模块进行后续的数据处理操作。在第3个时间周期,“输入数据选择控制”模块切换回到“数据缓存1”模块,将数据流送到“数据缓存1”模块中;与此同时,“输出数据选择控制”模块也作出切换,将“数据缓存2”模块缓存的第2个时间周期的数据送到“数据后处理”模块。如此不断的交替切换。

这里利用了乒乓操作完成数据的无缝缓存与处理。乒乓操作可以通过“输入数据选择控制”和“输出数据选择控制”按节拍、相互配合的进行来回切换,将经过缓存的数据流不停顿地送到“数据后处理“模块。

如图3.20所示,在一个图像采集和显示应用中,图像传感器实时采集30fps的视频流,同时需要以每秒60Hz的帧率在液晶屏上显示。这个应用就可以使用乒乓缓存来解决图像采集和显示两端的帧率不匹配导致的不同步问题。由于图像分辨率较大,一般会选择缓存到外部的DDR3存储器芯片中,例如本实例会在DDR3存储器开辟两块不同地址的内存空间,分别作为乒乓缓存的2个不同缓存区。

73a97a8a-43be-11ee-a2ef-92fbcf53809c.jpg

图3.20 图像实时显示

在这个例子中,为了保证液晶屏显示驱动输出的每一帧图像都是从传感器的同一个曝光时间内采集到的同一帧图像,除了需要有乒乓缓存,可能还需要有额外比较复杂的控制和判断逻辑,用于更准确的切换两个缓存中的数据的输入和输出,这是题外话,这里不详细展开介绍。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 存储器
    +关注

    关注

    38

    文章

    7240

    浏览量

    162566
  • 图像传感器
    +关注

    关注

    68

    文章

    1788

    浏览量

    129017
  • 数据缓存
    +关注

    关注

    0

    文章

    22

    浏览量

    6994

原文标题:经典设计思想:乒乓操作

文章出处:【微信号:FPGA快乐学习,微信公众号:FPGA快乐学习】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA设计的四种常用思想与技巧,帮你成为FPGA设计高手!

    ; 四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计
    发表于 11-01 13:17

    FPGA设计的四种常用思想与技巧

    本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计
    发表于 10-20 09:12

    FPGA设计思想与技巧之一乒乓操作

    本帖最后由 jiuri1989 于 2012-2-10 11:33 编辑 本系列讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,
    发表于 02-10 11:32

    FPGA设计思想与技巧之串并转换和流水线操作

    本帖最后由 jiuri1989 于 2012-2-10 11:42 编辑 本系列讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,
    发表于 02-10 11:40

    FPGA 设计的四种常用思想与技巧

    FPGA 设计的四种常用思想与技巧FPGA设计的四种常用思想与技巧 讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流
    发表于 08-11 10:30

    FPGA设计的常用思想

    FPGA/CPLD 的设计思想与技巧是一个非常大的话题,由于篇幅所限,本文仅介绍一些常用的设计思想与技巧,包括乒乓操作、串并转换、流水线操作
    发表于 12-09 14:33

    FPGA对两片SRAM的乒乓读写操作

    各位吧友我想问一下使用FPGA对SRAM进行乒乓读写时,需要注意哪些问题?因为在我不经过SRAM进行乒乓操作时数据输出正常(每个像素点输出稳定),但加上SRAM后输出的数据用chipscope看大概也没问题,但就是屏幕上的像素点
    发表于 10-14 18:11

    四种常用FPGA/CPLD设计思想与技巧

    /u/97edd21e88 本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD 逻辑设计的内在规律的体现,合理地
    发表于 07-03 08:30

    【设计技巧】浅析FPGA设计技巧之乒乓操作

    在搭建视频图像采集系统时,为了达到显示的实时性和同步性,视频流的传输存储通常采用乒乓操作来进行。我这里用的是SDRAM作为存储单元,使用SDRAM中的两片Bank组成一个乒乓块。 乒乓
    发表于 08-02 08:00

    大神常用的四种FPGA/CPLD设计思想与技巧

    四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计
    发表于 05-01 07:00

    常用的FPGA/CPLD设计思想与技巧有哪些?

    本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD 逻辑设计的内在规律的体现,合理地采用这些设计
    发表于 04-29 06:04

    FPGA设计的四种常用思想与技巧

    本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计
    发表于 10-29 21:55 55次下载

    FPGA重要设计思想及工程应用之乒乓操作

    FPGA重要设计思想及工程应用之乒乓操作乒乓操作” 是一个常常应用于数据流控制的处理技巧,典型的
    发表于 02-09 10:51 44次下载

    FPGA/CPLD设计思想与技巧

      本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的
    发表于 11-04 10:11 647次阅读
    FPGA/CPLD设计<b class='flag-5'>思想</b>与技巧

    四种常用FPGA/CPLD设计思想与技巧介绍及乒乓操作案例分析

    本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计
    发表于 12-02 11:30 7268次阅读
     四种常用FPGA/CPLD设计<b class='flag-5'>思想</b>与技巧介绍及<b class='flag-5'>乒乓</b><b class='flag-5'>操作</b>案例分析