0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Sub-LVDS技术在FPGA上的应用

CHANBAEK 来源:硬件设计与测试 作者:彦28 2023-09-15 14:47 次阅读

Sub-LVDS是一种低功耗、低误码率、低串扰和低辐射的差分信号技术,是LVDS技术在Camera接口上的一种应用。Sub-LVDS采用低摆幅电流模式传输系统,同传统的电压模式相比较,在达到几乎相同的性能水平时,由于有比传统模式更好的抗电源噪声能力,它可以在噪声容限低得多,而且摆幅也低得多的情况下工作。目前,Sub-LVDS技术在Sony的Camera/Sensor中比较常见,主要传输的数据格式为RAW10或者RAW12。SUB-LVDS的电压更低,共模电压为1.8V,差模电压为150mV。

Sub-LVDS是LVDS电气规范的低电压版本,不同于LVDS,它的共模和差分信号电平降低,但仍然能够驱动LVDS接收器。当LVDS驱动需要与Sub-LVDS接收器接口连接时,问题就出现了。从驱动的信号开始电压水平不在一个合适的范围内,以确保与接收器的正常通信,必须改变驱动器输出以保证适当的电压水平。本文讨论如何将LVDS驱动器和Sub-LVDS接收器之间的接口互联,以及如何使用简单的电阻网络修改信号电压等级以保证兼容性。

Sub-LVDS驱动器输出参数

图片

Sub-LVDS驱动器接收参数

图片

LVDS输出与Sub-LVDS驱动器接收参数

图片

从以上表格得知,SubLVDS作为LVDS的发展,采用低摆幅电流模式传输系统,同传统的电压模式相比较,在达到几乎相同的性能水平时,由于有比传统模式更好的抗电源噪声能力,它可以在噪声容限低得多,而且摆幅也低得多的情况下工作。设计一个高效电流模式电路的主要挑战是静态功耗,但这在超高速网络中不成问题,因为这时的动态功耗往往起主要作用。再者,此处采用了更加先进的工艺,将供电电压从2.5 V降到1.8 V,输出电压摆幅从350 mV降为150mV,从而可以达到更低的功耗和提供更高的传输速率。

SubLVDS驱动电路

驱动电路是SubLVDS中的重要部分,其功能是实现将输入的CMOS信号转换为差分输出信号,使得在传输过程中,抗噪特性更好。

图片

SubLVDS与LVDS的互联

由于 LVDS 驱动器具有1.2V的典型固定共模电压输出和350mV的典型差分电压摆幅,因此降压电阻网络的目标是实现 0.9V 的固定共模电压以及 150mV 的输出差分电压摆幅。使用简单的电阻网络连接 LVDS 驱动器和 Sub-LVDS 接收器是支持 Sub-LVDS 接收器所需较低信号电压电平的可行且经济的选择。

图片

图片

Sub-LVDS在7系列FPGA的应用

Sub-LVDS在手册xapp582和wp393都有描述,直接看图

图片

图片

图片

选择DIFF_HSTL_II_F I/O标准用于Sub-LVDS发射机,因为它具有0.9V标称的共模电压。DIFF_HSTL_II_F_18的摆幅太大,不能用于subblvds信号。串联终端放置在线路中,以减少信号摆幅,从而满足Sub-LVDS规范,同时保持共模在正确的范围内。

图片

图片

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1620

    文章

    21509

    浏览量

    598870
  • 驱动器
    +关注

    关注

    51

    文章

    7997

    浏览量

    145001
  • 接口
    +关注

    关注

    33

    文章

    8253

    浏览量

    149939
  • lvds
    +关注

    关注

    2

    文章

    1018

    浏览量

    65387
  • 差分信号
    +关注

    关注

    3

    文章

    361

    浏览量

    27536
收藏 人收藏

    评论

    相关推荐

    基于FPGA的高速LVDS数据传输

    22.4Gbps(Kintex-7).2. 1对LVDS接收时钟+16对LVDS接收数据.本人可以提供FPGA源代码.同时还可以Xilinx评估板ML555/ML605/KC705上
    发表于 03-01 18:47

    FPGA编程LVDS信号图像处理技术

    各位大神,小弟这边先谢过了,真的很急!目前我需要使用FPGA技术来处理一款1对时钟LVDS信号和8对数据LVDS信号摄像头模组,我这边只能对并口信号和MIPI信号输出的摄像头模组进行测
    发表于 07-17 16:40

    DLPC3433+DLPA2000+DLP3010开发需要DLP3010把每一帧都投射到不同颜色的幕布

    dlpc到sub-lvds是经过一定的内存与处理的,所以图像帧经过dlpc是有相位“延时”与“抖动”的。(3) (2)在理解,我们通过FPGA进行相关处理,比如需要开放dsi与
    发表于 02-13 07:26

    LVDS接口技术DAC系统中的应用

    技术简介LVDS,即Low-Voltage Differential Signaling低压差分信号,是由美国国家半导体公司于1994年提出的一种信号传输模式,满足高数据传输率的同时降低了功耗,运用
    发表于 05-28 05:00

    基于索尼SUB-LVDS并行桥的设计应用

    索尼SUB-LVDS并行桥参考设计。许多分辨率高于720p60的索尼图像传感器不再能够使用传统的CMOS并行接口。随着IMX172(4k x 2k分辨率),IMX136(1080p),IMX104
    发表于 04-30 09:36

    索尼图像传感器桥接的解决方案

    索尼Sub-LVDS到MIPI CSI-2传感器桥参考设计。索尼图像传感器的桥接解决方案 - 它创建了一个参考设计,将串行Sub-LVDS接口与MIPI CSI-2连接起来,从而使设计人员能够将
    发表于 04-30 06:13

    FPGALVDS接收器的速度是否会降低?

    )。从这些产品的数据表中我可以看出,对于大多数上述FPGA来说,这应该是可行的。然而,该设计可以使用比标准1.25V共模低的共模电压。这可能是600mV的共模电压。当共模电压从标称值1.25V降低时,FPGA
    发表于 06-16 08:44

    基于FPGALVDS接口应用

    介绍了LVDS技术的原理,对LVDS接口高速数据传输系统中的应用做了简要的分析,着重介绍了基于FPGA
    发表于 01-11 10:46 101次下载
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>LVDS</b>接口应用

    UltraScale FPGA中的LVDS的1000Base-X的介绍

    本视频讨论了UltraScale FPGA中的LVDS的1000Base-X,支持通用I / O(SelectIO)和收发器。 演示重点关注RX和TX抖动要求。
    的头像 发表于 11-26 06:40 4455次阅读
    UltraScale <b class='flag-5'>FPGA</b>中的<b class='flag-5'>LVDS</b><b class='flag-5'>上</b>的1000Base-X的介绍

    如何解决FPGA引脚与LVDS信号相连时兼容性的问题

    很多工程师使用Xilinx开发板时都注意到了一个问题,就是开发板中将LVDS的时钟输入(1.8V电平)连接到了VCCO=2.5V或者3.3V的Bank,于是产生了关于FPGA引脚与
    的头像 发表于 10-10 09:25 1.1w次阅读
    如何解决<b class='flag-5'>FPGA</b>引脚与<b class='flag-5'>LVDS</b>信号相连时兼容性的问题

    LVDSFPGA中的使用教程之LVDS的介绍

    ,采集卡使用DS90CR288进行并转串处理,这种方式占用FPGA管脚资源多。当传输24bit RGB信号时,需要使用24(信号)+4(同步控制)+1(时钟)=29个管脚,而使用lvds传输,使用altlvds_tx核,只需要
    发表于 12-30 16:57 25次下载
    <b class='flag-5'>LVDS</b><b class='flag-5'>在</b><b class='flag-5'>FPGA</b>中的使用教程之<b class='flag-5'>LVDS</b>的介绍

    基于Sony Sub-LVDS to MIPI CSI-2 Sensor Bridge图像传感器的参考设计

    查看Sony Sub-LVDS到MIPI CSI-2传感器桥的参考设计。 http://www.elecfans.com/soft/有成千上万的参考设计,可帮助您使项目栩栩如生。
    发表于 01-12 14:30 18次下载
    基于Sony <b class='flag-5'>Sub-LVDS</b> to MIPI CSI-2 Sensor Bridge图像传感器的参考设计

    FPGALVDS信号兼容性分析方法

    很多工程师使用Xilinx开发板时都注意到了一个问题,就是开发板中将LVDS的时钟输入(1.8V电平)连接到了VCCO=2.5V或者3.3V的Bank,于是产生了关于FPGA引脚与
    的头像 发表于 02-09 09:48 3145次阅读

    基于FPGA的内部LVDS接收器设计

    LVDS是一种低压低功耗的高速串行差分数据传输标准,高速数据互联和数据通信领域得到广泛的应用,主流的FPGA器件都集成了高速的LVDS收发器。
    发表于 04-26 09:50 1180次阅读
    基于<b class='flag-5'>FPGA</b>的内部<b class='flag-5'>LVDS</b>接收器设计

    LVDS 驱动器与 Sub-LVDS 接收器对接应用说明

    电子发烧友网站提供《将 LVDS 驱动器与 Sub-LVDS 接收器对接应用说明.pdf》资料免费下载
    发表于 09-13 11:00 0次下载
    将 <b class='flag-5'>LVDS</b> 驱动器与 <b class='flag-5'>Sub-LVDS</b> 接收器对接应用说明