0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

D触发器,请查收!

冬至子 来源:呆萌的长颈鹿 作者:三十而惑 2023-12-04 15:23 次阅读

什么是D触发器?

D触发器(D Flip-Flop)是一种数字电子电路,用于延迟其输出信号(Q)的状态变化,直到时钟输入信号的下一个上升沿出现。

输出Q在输入CLK的每个上升沿时保存输入D的数值,等到输入CLK的下一个上升沿出现才会重新读取输入D的数值,输入RST为1时输出Q输出值为0且保持不变。

时间图如下:

图片

特征表如下:

1.jpg

其中:RST:重置, CLK:时钟, D0、D1:输入 Q0、Q1:输出

*假设最初 RST 是高电平 ( RST = 1),无论D0 和 D1是否高电平,输出 Q0 和 Q1 是低电平 (Q = 0);
*当输入RST为低电平(RST = 0),在CLK脉冲的上升沿处,Q0=D0,Q1=D1,并保持数值到下一个CLK脉冲。

图片

源代码如下:

FUNCTION_BLOCK "FF2E"
{ S7_Optimized_Access := 'TRUE' }
VERSION : 0.1
   VAR_INPUT 
      D0 : Bool;
      D1 : Bool;
      CLK : Bool;
      RST : Bool;
   END_VAR


   VAR_OUTPUT 
      Q0 : Bool;
      Q1 : Bool;
   END_VAR


   VAR 
      edge : Bool;
   END_VAR


BEGIN


IF #RST THEN
  #Q0 := FALSE;
  #Q1 := FALSE;
ELSIF #CLK AND NOT #edge THEN
  #Q0 := #D0;
  #Q1 := #D1;
END_IF;
#edge := #CLK;




END_FUNCTION_BLOCK
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • D触发器
    +关注

    关注

    2

    文章

    158

    浏览量

    47605
  • RST
    RST
    +关注

    关注

    0

    文章

    30

    浏览量

    7336
  • 时钟信号
    +关注

    关注

    4

    文章

    390

    浏览量

    28267
  • CLK
    CLK
    +关注

    关注

    0

    文章

    123

    浏览量

    16968
收藏 人收藏

    评论

    相关推荐

    57.1 主从D触发器 (3)#触发器

    元器件D触发器触发器
    电路设计快学
    发布于 :2022年08月01日 11:29:16

    57.2 主从D触发器 (3)#触发器

    元器件D触发器触发器
    电路设计快学
    发布于 :2022年08月01日 11:31:45

    触发器实验

    触发器实验1)熟悉常用触发器的逻辑功能及测试方法。2)了解触发器逻辑功能的转换。三.实验内容及步骤 (1)   基本RS触发器逻辑功能测试(2)  JK
    发表于 03-20 10:01

    如何用JK触发器构成D触发器 电路图

    本帖最后由 gk320830 于 2015-3-5 20:47 编辑 如何用JK触发器构成D触发器 电路图来人给个图吧..
    发表于 11-14 15:21

    D触发器Verilog描述

    ,呵呵。。。上半年,由于Boss项目,学习了FPGA,学的有点急,也断断续续的,才过几个月,似乎知识已经远去,打开电脑,速览以前的资料,记忆又回来了。。。简单记录下这道题,权当回忆。。。//基本D触发器
    发表于 02-22 13:54

    触发器的分类

    按逻辑功能不同分为:RS触发器D触发器、JK触发器、T触发器。按触发方式不同分为:电平
    发表于 06-18 11:42

    J-K触发器D触发器代替 求教

    如图, 将j-k触发器D触发器代替,刚入门 求教
    发表于 01-09 20:56

    labview新手 请教D触发器设计

    求助谁能教设计一个D触发器
    发表于 12-24 22:54

    关于D触发器的问题

    `如图所示,图中第一个触发器D接第二个触发器的非Q端,这个时序图,整不明白啊,我的看法是:当第一个时钟信号高电平来的时候,第一个触发器的输出状态Q是不能判断的啊,因为
    发表于 01-16 11:50

    请问电平触发D触发器型号有哪些?

    电平触发D触发器型号有哪些?大部分都是边沿触发的,现在要用到电平触发器,不知道具体型号没法买到
    发表于 02-28 14:32

    什么是触发器 触发器的工作原理及作用

    ”,分别称为置“1”端和置“0”端。常见的触发器有R-S触发器D触发器和J-K触发器等三种,下面简单说明它们的工作原理。类型种类按逻辑功能
    发表于 12-25 17:09

    图文并茂:D触发器电路设计教程

    ,延迟触发器D型双稳态,D触发器,或者简称为D触发器
    发表于 02-03 08:00

    jk触发器设计d触发器

    jk触发器设计d触发器,根据原理图实现模8加1计数,来源于西电慕课貌似这个软件只有5.0和5.12两个版本。在win10下拖曳器件会发生残影的现象,而且无法修改连线。虽然有自动连线功
    发表于 07-22 08:39

    常见的触发器包括哪些

    单片机内部有大量寄存, 寄存是一种能够存储数据的电路, 由触发器构成。1.触发器触发器是一种具有记忆存储功能的电路, 由门电路组成。 常
    发表于 01-20 07:13

    D触发器,CLK突变时,输入D也突变,触发器的输出应该如何判定?

    做了一个仿真:key_in作为D触发器的输入,led_out作为触发器输出,时钟周期20ns,key_in每10ns随机变化一次,这样的设置下,key_in信号的变化沿有时会和时钟上升沿重合,根据
    发表于 01-25 22:41