0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

verilog中函数和任务对比

FPGA学习笔记 来源:FPGA学习笔记 作者:FPGA学习笔记 2024-02-12 18:43 次阅读

verilog中,函数和任务均用来描述共同的代码段,并且在模式内任意位置被调用,提高代码效率,让代码更加的直观,提高代码可读性。但是在实际使用的过程中,函数和任务也存在诸多的不同,下面将对而这进行对比,方便学习理解。

比较 函数 任务
输入 函数至少需要包含一个输入,端口类型不能包含inout类型 任务可以没有或者有多个输入,且端口声明可以为inout类型
输出 函数无输出 任务可以没有或者有多个输出
返回值 函数有至少一个返回值 任务无返回值
仿真时间 函数从零时刻开始执行 任务可以在非零时刻执行
时序逻辑 函数不包含时序逻辑 任务不能出现always语句,但是可以使用延时之类的语句
调用 函数可以调用函数但是不能调用任务 任务可以调用任务和函数
语法规范 函数只能出现在赋值语句的右端 任务可以作为单独的语句出现

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1332

    浏览量

    109667
  • 函数
    +关注

    关注

    3

    文章

    4232

    浏览量

    61930
  • 任务
    +关注

    关注

    1

    文章

    20

    浏览量

    8513
收藏 人收藏

    评论

    相关推荐

    Verilog系统任务的相关资料推荐

    Verilog数字系统设计十任务函数实验2文章目录Verilog数字系统设计十前言一、Verilog系统
    发表于 02-09 06:05

    任务函数的区别?

    Verilog数字系统设计九任务函数实验1文章目录Verilog数字系统设计九前言一、任务函数
    发表于 02-09 07:47

    VHDL和Verilog HDL语言对比

    VHDL和Verilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog
    发表于 02-09 09:01 1w次阅读

    FreeRTOS任务应用函数介绍

    * constpulTotalRunTime ); 该函数向TaskStatus_t结构体填充相关信息,系统每一个任务的信息都可以填充到TaskStatus_t结构体数组,数组大
    的头像 发表于 03-19 10:22 2436次阅读

    Verilog HDL语言中任务函数的比较

    其中,返回值的类型和位宽是可选项,如果缺省会返回一位寄存器类型数据。Verilog HDL认为函数的定义隐式地声明了与函数同名的寄存器。函数的定义把
    的头像 发表于 07-02 10:24 2192次阅读

    Verilog数字系统设计——任务函数二(系统任务readmemb或readmemh)

    Verilog数字系统设计十任务函数实验2文章目录Verilog数字系统设计十前言一、Verilog系统
    发表于 12-05 19:06 7次下载
    <b class='flag-5'>Verilog</b>数字系统设计——<b class='flag-5'>任务</b>和<b class='flag-5'>函数</b>二(系统<b class='flag-5'>任务</b>readmemb或readmemh)

    Verilog数字系统设计——任务函数一(斐波那契数列)

    Verilog数字系统设计九任务函数实验1文章目录Verilog数字系统设计九前言一、任务函数
    发表于 12-05 19:06 9次下载
    <b class='flag-5'>Verilog</b>数字系统设计——<b class='flag-5'>任务</b>和<b class='flag-5'>函数</b>一(斐波那契数列)

    Verilog设计函数任务的作用分析

    任务函数Verilog中用于描述常用的功能行为。与其在不同的地方复制相同的代码,不如根据需求使用函数任务,这是一种良好且常见的做法。为
    的头像 发表于 03-15 11:01 1629次阅读

    Verilog系统函数和边沿检测

    “ 本文主要分享了在Verilog设计过程中一些经验与知识点,主要包括Verilog仿真时常用的系统任务、双向端口的使用(inout)、边沿检测”
    的头像 发表于 03-15 13:34 2064次阅读

    RTL建模函数任务讨论

    函数任务可以在使用它们的模块或接口中定义。定义可以出现在调用函数任务的语句之前或之后完成,函数任务
    的头像 发表于 11-09 09:26 936次阅读

    Verilog关于文件操作的系统任务

    Verilog提供了很多对文件操作的系统任务函数,例如打开关闭文件、向文件写入值、从文件读出值等等。
    的头像 发表于 12-05 13:57 1300次阅读

    Verilog任务函数的区别

    函数一样,任务(task)可以用来描述共同的代码段,并在模块内任意位置被调用,让代码更加的直观易读。
    的头像 发表于 06-01 16:38 1325次阅读
    <b class='flag-5'>Verilog</b><b class='flag-5'>任务</b>与<b class='flag-5'>函数</b>的区别

    FreeRTOS其他任务API函数

    1、函数 uxTaskPriorityGet() 此函数用来获取指定任务的优先级,要使用此函数的话宏 INCLUDE_uxTaskPriorityGet 应 该定义为 1。 2、
    的头像 发表于 07-06 16:54 924次阅读

    FreeRTOS任务通知通用发送函数

    发送任务通知 任务通知通用发送函数 任务任务通知发送函数:xTaskNotify()、xTas
    的头像 发表于 07-30 11:43 622次阅读
    FreeRTOS<b class='flag-5'>任务</b>通知通用发送<b class='flag-5'>函数</b>

    verilog function函数的用法

    Verilog 是一种硬件描述语言 (HDL),主要用于描述数字电子电路的行为和结构。在 Verilog 函数 (Function) 是一种用于执行特定
    的头像 发表于 02-22 15:49 3604次阅读