0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

多家大厂计划导入先进基板技术,玻璃基板最早2026量产

Robot Vision 来源:电子发烧友 作者:Sisyphus 2024-04-20 00:17 次阅读

电子发烧友网报道(文/李宁远)随着半导体行业的快速发展,尤其是在人工智能崛起带动算力需求攀升的背景下,芯片制造领域的竞争愈演愈烈,先进芯片制造的每一个环节,设计、制造、封测等都可能成为胜负手,成为比拼先进芯片竞争力的高地。

先进芯片领域的竞争同样在半导体基板细分领域打响,玻璃基板现在是半导体基板材料的前沿热点。此前就有韩国分析机构KB Securities的分析师表示,到2030年现有的有机基板将难以承载采用先进封装的AI芯片对数据吞吐量的需求。

玻璃基板卓越的机械、物理和光学特性成为最受关注的有机基板替代材料。今年,产业链上多家知名厂商均有相关报道流出,计划引入玻璃基板技术。

多家大厂确定引入玻璃基板技术,赛道群雄逐鹿

上月末,据Digitimes援引供应链的消息报道称,苹果公司正积极与多家供应商商讨将玻璃基板技术应用于芯片开发的战略,预计未来苹果会采用玻璃基板为芯片性能提升带来新的突破。

封装基板一直是芯片封装领域的重要组成部分,基板要为芯片提供保护和支撑,既是芯片向外界散热的主要途径,也是实现芯片与外界之间进行电流信号传输的重要载体。有机基板、陶瓷基板、硅基板都是目前半导体行业常用的几类基板。采用有机材料的基板有低成本、易加工和重量轻的优势,是目前市占率最高的基板。

但目前基板材料的散热性能没有那么理想,芯片运行过程中产生的热量会导致其性能下降,这意味着芯片只能在短时间内维持最高性能,一旦温度过高就不得不降频运行。在算力需求迅猛增长的如今,算力已经是无法替代的先进生产力,是AI技术落地的基础支撑。

而随着AI芯片对数据吞吐量需求不断提升,这要求半导体封装内晶体管数量极限最大化,同时具备能耗更低、性能更好、散热效率更高的优势。

传统基板在支撑先进制程AI芯片上已经越来越无力,传统材料基板无法进一步提高晶体管密度的同时,还面临着容易收缩形变的问题,同时功耗可能高达数千瓦,传统材料基板作为互连材料已经开始乏力。所以有分析认为到2030年现有的有机基板将难以承载采用先进封装的AI芯片对数据吞吐量的需求。

用于下一代先进封装的玻璃基板成为继续推动摩尔定律以数据为中心的应用算力需求的助力,也成为算力时代的先进芯片提供可靠基板的最受关注的选择。玻璃作为无机非金属材料,其高硬度、高熔点、热导性能良好的特性是成为理想的芯片基板材料的基础。

同时,玻璃基板具有较好的表面平整度和尺寸稳定性,可以有效地减小热应力带来的影响。而且,在光透过性上玻璃基板的表现也更出色。根据英特尔此前发布的玻璃基板数据,使用玻璃材料基板能够提高芯片的供电效率,互连密度相比有机材料可以提高10倍,将带宽近翻倍提升至448G。

受益于互连密度的提高,数据密集型应用的高密度、高性能AI芯片无疑将率先从这项技术中收益。

玻璃基板潜力巨大的应用前景吸引了众多厂商,本月初,据韩媒ETNews报道,AMD正对全球多家主要半导体基板企业的玻璃基板样品进行性能评估测试,计划将这一先进基板技术导入人工智能等HPC应用。

此次测试多家企业样品被视为AMD正式确认引入该技术并准备建立成熟量产体系的标志,业界预测AMD最早于2025-2026年的产品中导入玻璃基板。

量产元年在即,加码备战玻璃基板赛道

在制程工艺节点的微缩即将触及物理极限的困境中,业界将玻璃基板等新材料被视为突破摩尔定律瓶颈、维持芯片性能增长的关键。作为重要且被寄予厚望的技术,玻璃基板赛道吸引了全球众多巨头布局、加码。

据韩媒报道,三星机电公司联合三星电子和三星显示器等主要电子子公司建立联合研发统一战线,研发玻璃基板,目标在2026年开始大规模量产,意图比英特尔更快地实现商业化。CES 2024上,三星电机也表示今年将建立一条玻璃基板原型生产线,目标2025年生产原型,最早2026年实现量产。

英特尔在玻璃基板赛道中布局已久,一直稳步推进着玻璃基板技术的发展。去年英特尔展示了“业界首款”用于下一代先进封装的玻璃基板,根据当时英特尔的路线规划,是计划在2030年前借助玻璃基板将单一封装芯片中的晶体管数量上限提高至1万亿个,并没有给出具体的时间预期。

在近期英特尔举办的代工业网络研讨会上,英特尔给出了更具体的玻璃基板应用时间,称“这项技术的运用有望于2027年展开”,并没有说量产这些字眼,给出的应用时间节点也相对保守。

在越来越多竞争对手入局的情况下,虽然给出的信息较为保守,但是英特尔在玻璃基板上的技术积累毋庸置疑,量产时间肯定不会落后。

SK芯片封装子公司Absolics也是很早就布局了玻璃基板赛道,近两年Absolics一直在兴建工厂扩充玻璃基板产能,目前的进度是小批量生产。此前有报道称Absolic计划今年开始量产,下半年或许会有更多量产消息。

获苹果投资的LG旗下公司LG Innotek今年也宣布入局半导体玻璃基板赛道,LG Innotek CEO表示将把半导体基板和电子系统组件业务发展到第一。同时他也透露LG Innotek半导体基板的主要客户是美国一家大型半导体公司。

日本DNP也在加速研发进程,目前已开发出专注于新一代半导体封装的玻璃基板,量产目标时间定在2027年。日本Ibiden也在布局玻璃基板赛道,不过目前正处于半导体封装用玻璃芯基板技术的探索阶段。

对于半导体行业来说,玻璃基板是下一代先进芯片制造的重要技术,结合各家给出的时间节点,最早在2026年玻璃基板就会真正应用起来改变芯片制造格局。量产元年在即,众玩家也纷纷加码备战玻璃基板赛道。

写在最后

玻璃基板技术距离量产的时间越来越近,它能给先进封装的AI芯片带来怎样的提升值得期待。同时也可以预计到,玻璃基板量产后还需要不断完善相关封装技术组合,同时在成本和良率上经过不少时间来验证,这样才会在商业市场中更具竞争力。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 玻璃基板
    +关注

    关注

    0

    文章

    55

    浏览量

    10185
收藏 人收藏

    评论

    相关推荐

    英特尔公布玻璃芯研发进展,玻璃基板或引领下一代先进封装

    近日,英特尔发表声明展示“业界首款”用于下一代先进封装的玻璃基板,与现今使用的有机基板相比,玻璃基板
    的头像 发表于 09-24 05:08 2413次阅读
    英特尔公布<b class='flag-5'>玻璃</b>芯研发进展,<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>或引领下一代<b class='flag-5'>先进</b>封装

    英特尔计划最快2026量产玻璃基板

    在全球半导体封装技术的演进中,英特尔近日宣布了一项引人注目的计划——最快在2026年实现玻璃基板量产
    的头像 发表于 07-01 10:38 256次阅读

    英特尔引领未来封装革命:玻璃基板预计2026年实现量产

    在全球科技竞争日益激烈的今天,英特尔作为半导体行业的领军者,不断推动着技术创新的边界。近日,英特尔宣布了一项重大计划,预计将在2026年至2030年之间实现其玻璃
    的头像 发表于 06-28 09:54 294次阅读

    康宁计划扩大半导体玻璃基板市占 拟推出芯片封装用玻璃

    据科创板30日报道,康宁韩国业务总裁Vaughn Hall周三表示,康宁希望利用其特殊的专有技术,扩大其在半导体玻璃基板市场的份额。“我对玻璃基板
    的头像 发表于 05-31 17:41 197次阅读

    玻璃基板时代,TGV技术引领基板封装

    了支持,是行业发展的重要方向。   在先进封装领域,玻璃基板现在是半导体基板材料的前沿热点,玻璃基板
    的头像 发表于 05-30 00:02 1919次阅读

    英特尔加大玻璃基板技术布局力度

    近日,全球领先的半导体制造商英特尔宣布,将大幅增加对多家设备和材料供应商的订单,旨在生产基于玻璃基板技术的下一代先进封装产品。这一战略举措预
    的头像 发表于 05-20 11:10 336次阅读

    下一代芯片重要技术 —— 玻璃基板,封装竞争新节点?

    来源:EEPW,谢谢 编辑:感知芯视界 Link 根据最新市场消息。苹果正积极与多家供应商商讨将玻璃基板技术应用于芯片开发,以提供更好散热性能,使芯片在更长时间内保持峰值性能。同时,
    的头像 发表于 05-20 09:21 661次阅读

    盟立获应用材料认证,进军玻璃基板封装用EFEM市场

    值得注意的是,在此次IFS晶圆代工会议上,英特尔公布了最新的3D先进封装技术并再次强调,玻璃基板封装将于2026年全面投入生产。
    的头像 发表于 02-22 14:08 448次阅读

    三星电机计划开发半导体封装玻璃基板、汽车电子混合透镜等

    三星电机在CES 2024会议上强调,各项新业务如小型固态电池与固体氧化物电解池已经取得重要突破。计划于2024年建成玻璃基板样品生产线,2025年制备样品,并于2026年起大规模生产
    的头像 发表于 01-24 09:42 384次阅读

    玻璃基板对于下一代多芯片封装至关重要

    来源:《半导体芯科技》杂志 英特尔为支持摩尔定律延续的最新举措,涉及放弃有机基板(在计算芯片中数据和电力进出的媒介)而采用玻璃基板。英特尔官网近日发表的一篇博文透露了其在商用玻璃
    的头像 发表于 12-07 15:29 674次阅读

    英特尔:玻璃基板将推动算力提升

           在今年9月,英特尔宣布率先推出用于下一代先进封装的玻璃基板,并计划在未来几年内向市场提供完整的解决方案,从而使单个封装内的晶体管数量不断增加,继续推动摩尔定律,满足以数据
    的头像 发表于 12-06 09:31 290次阅读

    下一代英特尔玻璃基板封装转型概述

    英特尔还计划引入玻璃通孔技术(TGV),将类似于硅通孔的技术应用于玻璃基板,还推出了Fovero
    的头像 发表于 10-08 15:36 1043次阅读
    下一代英特尔<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>封装转型概述

    英特尔先进封装的玻璃基板技术解析

    有机基板的材料主要由类似 PCB 的材料和编织玻璃层压板制成,允许通过芯片路由相当多的信号,包括基本的小芯片设计,例如英特尔的移动处理器(具有单独的 PCH 和 CPU 芯片)以及 AMD 基于小芯片的 Zen 处理器。
    发表于 09-28 11:29 2008次阅读
    英特尔<b class='flag-5'>先进</b>封装的<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b><b class='flag-5'>技术</b>解析

    满足更高算力需求,英特尔率先推出用于下一代先进封装的玻璃基板

    玻璃基板有助于克服有机材料的局限性,使未来数据中心和人工智能产品所需的设计规则得到数量级的改进。 英特尔宣布在业内率先推出用于下一代先进封装的玻璃
    的头像 发表于 09-20 17:08 276次阅读

    英特尔着眼玻璃基板,载板业界:量产技术仍不成熟

    但是,台湾载板业界认为,玻璃基板量产技术还不成熟。载板市场已经掌握了玻璃基板
    的头像 发表于 09-19 10:20 635次阅读