0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

以太网模块简介 以太网模块案例分享

韬略科技EMC 来源:韬略科技EMC 2024-05-07 14:09 次阅读

前言

随着智能化设备的普及应用,智能主板也应用的越来越广泛,比如在信息发布、自助终端、智慧收银等方面应用越来越广。同时为了让人们能够在不同的地点之间进行信息交流和资源共享,这些智能设备都需要连上互联网,以太网块就成了它们不可或缺的一部分。

以太网模块简介

我们把以太网模块的主要数据传输做了一个简单的架构图(如图1),从图中可以看到,这些数据的传输都是我们要主要关注的,特别是里面的时钟信号,相信很多整改EMC工程师都遇到过以太网时钟超标的问题点。其中,百兆以太网的时钟基频是50MHz,千兆以太网的基频是125MHz。

9eddd4d8-0c33-11ef-a297-92fbcf53809c.png

以太网模块架构图(1)

那么针对其中的时钟问题,我们有以下措施:

1. 在时钟线上加RC滤波;

2. 把时钟线包地以后走在中间层;

3. 把PHY芯片CPU屏蔽起来;

另外MDI网络数据的传输的噪声问题我们就可以加共模滤波器

案例分享

这个案例是某RK方案的安卓控制板,上面用的PHY芯片是瑞芯微的方案,从测试数据就可以显著看到以太网时钟数据超标。摸底测试数据如下:

9efbff58-0c33-11ef-a297-92fbcf53809c.png

摸底数据——水平图(2)

9f006304-0c33-11ef-a297-92fbcf53809c.png

摸底数据数据——垂直图(3)

数据中超标的频点是125MHz的时钟,前面说的可以判断出是千兆网的基频,相信很多工程师已经开始头疼了,因为五倍频以下都是比较有效的频率,特别是基频,但凡我们滤波参数加的过大,以太网就会直接罢工。

这时不管问题有多艰难,我们都要耐心,细心的去尝试验证,我们先把前面说的措施加上去看看,这款主板它在设计的时候就进行的EMC预留,它已经在PHY芯片CLK_OUT、TX_CLK、RX_CLK上加了RC滤波。这是非常好的,在前期的设计预留,可以减少后期加整改措施的难度、改板次数与周期。

9f0b2f46-0c33-11ef-a297-92fbcf53809c.png

RC滤波图(4)

机器上面用的电阻参数是比较小的,我们尝试把它们加大,尝试了很多参数,最后决定电阻改为120Ω,电容5pF。因为这个参数对它机器125MHz这个频点滤波效果最好,同时以太网也能正常工作。

9f0ee1e0-0c33-11ef-a297-92fbcf53809c.png

更改RC参数后的测试数据图(5)

可以看到,虽然把措施都加上了,但125MHz这个点还是超标了0.68个DB,读点也读不下来。现在我们就要从细节去处理。我们细细研究发现,瑞芯微的芯片方案大多数的CLK_OUT旁边都挨着RJ45两个指示灯线,而且很多人也忽视了这两个指示灯的走线。CLK_OUT上的时钟耦合到这两个走线导致以太网的基频辐射出去。

9f1b12da-0c33-11ef-a297-92fbcf53809c.png

瑞芯微芯片引脚图(6)

9f2ffa10-0c33-11ef-a297-92fbcf53809c.png

瑞芯微方案架构图(7)

LED灯线这边的话我们有两个方案可以采取,第一可以在走线上串磁珠,第二在靠近引脚处加滤波电容。因为这里没有做串阻的预留,所以我们采取加滤波电容。在两个灯线上对地加了100pF的滤波电容,数据能通过测试。

9f33c226-0c33-11ef-a297-92fbcf53809c.png

最后数据图(8)

总结

以太网模块虽然已经比较成熟,但它的EMC问题还是我们工程师的一大难点。所以针对这些难点,我们就需要更加细心的去尝试验证,不放过那些容易被我们忽略的细节。希望上面案例的整改思路,能够给各位工程师提供一点帮助,不足之处还望指正。


审核编辑:刘清
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 滤波电容
    +关注

    关注

    8

    文章

    455

    浏览量

    39880
  • PHY
    PHY
    +关注

    关注

    2

    文章

    294

    浏览量

    51519
  • 共模滤波器
    +关注

    关注

    0

    文章

    40

    浏览量

    16991
  • 以太网模块
    +关注

    关注

    0

    文章

    26

    浏览量

    8069
  • 时钟信号
    +关注

    关注

    4

    文章

    431

    浏览量

    28372

原文标题:以太网模块被忽视的角落

文章出处:【微信号:TLTECH,微信公众号:韬略科技EMC】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    车载以太网快速入门#车载以太网

    车载以太网
    北汇信息POLELINK
    发布于 :2023年09月01日 17:54:31

    车载以太网基础培训——车载以太网的链路层#车载以太网

    车载以太网
    北汇信息POLELINK
    发布于 :2023年09月19日 16:25:21

    串口转以太网模块设计

    本文介绍了串口转 以太网 模块设计,是全英文版的。
    发表于 06-28 17:23 211次下载
    串口转<b class='flag-5'>以太网</b><b class='flag-5'>模块</b>设计

    汽车以太网与工业以太网物理层对比

    另外,TJA1101/1102通过了OPEN Alliance的IOP测试,在需要调试汽车以太网的情况下,也可以将其连接到工业以太网进行交互,为此,立功科技设计了汽车以太网与工业以太网
    的头像 发表于 03-22 16:10 1.4w次阅读
    汽车<b class='flag-5'>以太网</b>与工业<b class='flag-5'>以太网</b>物理层对比

    微雪电子串口转以太网模块简介

    TTL串口转以太网模块 ARM内核 电磁隔离 双向透明传输,支持1对多 型号 UART TO ETH
    的头像 发表于 12-30 10:54 1932次阅读
    微雪电子串口转<b class='flag-5'>以太网</b><b class='flag-5'>模块</b><b class='flag-5'>简介</b>

    以太网模块的功能特点

    杭州塔石 以太网模块 可以为您的嵌入式系统通过以太网传输数据提供快速的解决方案。以太网模块串口支持透传模式和AT命令模式。服务器端安装虚拟串
    的头像 发表于 11-25 22:01 9362次阅读

    以太网的传播速率_以太网的传输介质

    本文首先介绍了以太网的传播速率,其次介绍了千兆以太网的传输速度,最后介绍了以太网的传输介质。
    发表于 03-20 10:12 6938次阅读

    以太网远程IO模块的选型

    以太网远程IO模块
    发表于 11-17 15:24 1017次阅读
    <b class='flag-5'>以太网</b>远程IO<b class='flag-5'>模块</b>的选型

    以太网远程I/O模块

    以太网远程I/O模块
    发表于 11-18 10:58 1728次阅读

    如何快速分辨以太网与千兆以太网

    本文解释并比较了两种类型的以太网:快速以太网与千兆以太网。快速以太网和千兆以太网之间的最大区别在于速度。
    的头像 发表于 05-06 16:35 4143次阅读
    如何快速分辨<b class='flag-5'>以太网</b>与千兆<b class='flag-5'>以太网</b>

    以太网光模你了解多少

    什么是以太网模块? 用于以太网的光模块。什么是以太网?通过信息管理(MIB)与公共物理媒介地址控制(MAC)可支持局域
    的头像 发表于 02-14 09:27 1088次阅读

    如何区分以太网模块和PON光模块

    以太网模块,是连续发射,连续接收,点对点光模块 PON光模块,ONU突发发射,OLT突发接收,点对多点光模块
    的头像 发表于 02-14 09:58 5696次阅读

    实时以太网TRDP模块功能简介

    NETMODFast 模块是广州众志诚信息科技有限公司生产的以太网&TRDP 模块转TTL串行/CAN 接口系列协议转换模块
    的头像 发表于 08-01 15:09 5118次阅读
    实时<b class='flag-5'>以太网</b>TRDP<b class='flag-5'>模块</b>功能<b class='flag-5'>简介</b>

    以太网TRDP-UDP模块功能简介

    NETMODUD-P1.02模块是广州众志诚信息科技有限公司生产的以太网&TRDP模块转TTL串行接口和以太网UDP接口系列协议转换模块
    的头像 发表于 08-01 15:14 2683次阅读
    <b class='flag-5'>以太网</b>TRDP-UDP<b class='flag-5'>模块</b>功能<b class='flag-5'>简介</b>

    工业以太网模块

    需要: 适合多协议工业以太网的可扩展平台。 增强对恶劣环境下耦合噪声的抵御能力。 广泛的以太网电缆诊断功能。 以太网 PHY DP83TC814R-Q1—不带 TC10 的低功耗 100BASE-T1
    的头像 发表于 11-08 08:35 369次阅读
    工业<b class='flag-5'>以太网</b><b class='flag-5'>模块</b>