0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

英特尔完成首台高数值孔径EUV光刻机安装,助力代工业务发展

微云疏影 来源:综合整理 作者:综合整理 2024-05-08 10:44 次阅读

英特尔近日宣布,斥资约3.5亿美元的第一台商用量子点EUV光刻机已安装调试完毕,有望年内正式投入使用。

据报道,ASML明年上半年绝大部分高数值孔径EUV设备订单都被英特尔拿下,其中包括今年计划生产的五套设备也全数交付。

知情人士透露,由于ASML高数值孔径EUV设备产能有限,每年仅能产出5至6台,因此英特尔将独享初始库存,而竞争对手三星和SK海力士预计需等到明年下半年才能获得此设备。

关于高数值孔径,简言之,它代表光学系统的聚光能力,数值越大,聚光能力越强。相较于现有EUV设备的0.33数值孔径,新一代EUV设备的NA值升至0.55,一维密度提高1.7倍,二维尺度上则可实现190%的密度提升。

英特尔代工旗下逻辑技术开发部门的光刻、硬件和解决方案主管菲利普斯表示,公司计划今年晚些时候将High NA EUV光刻机用于制程开发。

英特尔将在18A尺度的概念验证节点上测试High NA EUV与传统0.33NA EUV光刻的混合使用效果,并在后续的14A节点上实现商业化量产。

菲利普斯预测,High NA EUV光刻机至少可在未来三代节点上沿用,使光刻技术名义尺度突破至1nm以下。

展望未来光刻技术发展,菲利普斯认为将光线波长进一步缩短至6.7nm将面临诸多挑战,如光学组件尺寸大幅增大;他更看好更高数值孔径(Hyper NA)作为可行技术方向。

针对High NA EUV光刻导致的单芯片理论最大面积减小问题,菲利普斯表示英特尔正在与EDA企业共同研发芯片“缝合”技术,以便设计师更好地利用。

尽管英特尔于2021年重返芯片代工市场,但要想赢得客户信任,必须加快采用高数值孔径EUV技术。然而,英特尔代工业务去年亏损高达70亿美元,看来仍需努力。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 英特尔
    +关注

    关注

    60

    文章

    9599

    浏览量

    169841
  • EUV
    EUV
    +关注

    关注

    8

    文章

    595

    浏览量

    85682
  • ASML
    +关注

    关注

    7

    文章

    699

    浏览量

    40854
收藏 人收藏

    评论

    相关推荐

    Rapidus对首代工艺中0.33NA EUV解决方案表示满意,未采用高NA EUV光刻机

    在全球四大先进制程代工巨头(包括台积电、三星电子、英特尔以及Rapidus)中,只有英特尔明确表示将使用High NA EUV光刻机进行大规
    的头像 发表于 05-27 14:37 335次阅读

    买台积电都嫌贵的光刻机,大力推玻璃基板,英特尔代工的野心和危机

    电子发烧友网报道(文/吴子鹏)此前,台积电高级副总裁张晓强在技术研讨会上表示,“ASML最新的高数值孔径极紫外光刻机(high-NA EUV)价格实在太高了,台积电目前的极紫外设备(EUV
    的头像 发表于 05-27 07:54 2038次阅读

    台积电A16制程采用EUV光刻机,2026年下半年量产

    据台湾业内人士透露,台积电并未为A16制程配备高数值孔径(High-NA)EUV光刻机,而选择利用现有的EUV光刻机进行生产。相较之下,
    的头像 发表于 05-17 17:21 454次阅读

    英特尔率先推出业界高数值孔径 EUV 光刻系统

    来源:Yole Group 英特尔代工已接收并组装了业界首个高数值孔径(高NA)极紫外(EUV光刻系统。 新设备能够大大提高下一代处理器的
    的头像 发表于 04-26 11:25 286次阅读

    英特尔突破技术壁垒:首台商用High NA EUV光刻机成功组装

    英特尔的研发团队正致力于对这台先进的ASML TWINSCAN EXE:5000 High NA EUV光刻机进行细致的校准工作,以确保其能够顺利融入未来的生产线。
    的头像 发表于 04-22 15:52 558次阅读

    英特尔完成数值孔径EUV光刻机,将用于14A制程

    半导体设备制造商阿斯麦(ASML)于去年底在社交媒体上发布照片,揭示已向英特尔提供第一套高数值孔径EUV系统的关键部件。如今英特尔宣布已完成
    的头像 发表于 04-19 10:07 332次阅读

    阿斯麦(ASML)公司首台数值孔径EUV光刻机实现突破性成果

    )光刻机,并已经成功印刷出首批图案。这一重要成就,不仅标志着ASML公司技术创新的新高度,也为全球半导体制造行业的发展带来了新的契机。目前,全球仅有两台高数值孔径EUV
    的头像 发表于 04-18 11:50 333次阅读
    阿斯麦(ASML)公司<b class='flag-5'>首台</b>高<b class='flag-5'>数值孔径</b><b class='flag-5'>EUV</b><b class='flag-5'>光刻机</b>实现突破性成果

    单模光纤数值孔径一般是多少

    的应用领域和未来发展趋势。 数值孔径是用于描述光纤中光束扩展程度的一个量,其数值越大,表示光束的扩展越大。在光纤通信中,低数值孔径的单模光纤能够实现更长距离的信号传输,并具有较小的传输
    的头像 发表于 04-09 17:13 822次阅读

    ASML推出首款2nm低数值孔径EUV设备Twinscan NXE:3800E

    所谓低数值孔径EUV,依然是行业绝对领先。
    的头像 发表于 03-15 10:15 320次阅读
    ASML推出首款2nm低<b class='flag-5'>数值孔径</b><b class='flag-5'>EUV</b>设备Twinscan NXE:3800E

    ASML 首台新款 EUV 光刻机 Twinscan NXE:3800E 完成安装

    3 月 13 日消息,光刻机制造商 ASML 宣布其首台新款 EUV 光刻机 Twinscan NXE:3800E 已完成安装,新机型将带来
    的头像 发表于 03-14 08:42 287次阅读
    ASML <b class='flag-5'>首台</b>新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻机</b> Twinscan NXE:3800E <b class='flag-5'>完成安装</b>

    英特尔成为全球首家购买3.8亿美元高数值孔径光刻机的厂商

    英特尔最近因决定从荷兰 ASML 购买世界上第一台高数值孔径(High-NA)光刻机而成为新闻焦点。到目前为止,英特尔是全球唯一一家订购此类光刻机
    的头像 发表于 03-06 14:49 266次阅读
    <b class='flag-5'>英特尔</b>成为全球首家购买3.8亿美元高<b class='flag-5'>数值孔径</b><b class='flag-5'>光刻机</b>的厂商

    数值孔径 EUV技术路线图

    数值孔径EUV 今年的大部分讨论都集中在EUV的下一步发展以及高数值孔径EUV的时间表和技术要
    发表于 11-23 16:10 465次阅读
    高<b class='flag-5'>数值孔径</b> <b class='flag-5'>EUV</b>技术路线图

    #通 #英特尔 #Elite 通X Elite芯片或终结苹果、英特尔的芯片王朝

    英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    数值孔径EUV的可能拼接解决方案

    采用曲线掩模的另一个挑战是需要将两个掩模缝合在一起以在晶圆上形成完整的图像。对于高数值孔径 EUV,半场掩模的拼接误差是一个主要问题。
    的头像 发表于 10-23 12:21 447次阅读
    高<b class='flag-5'>数值孔径</b><b class='flag-5'>EUV</b>的可能拼接解决方案

    数值孔径EUV的技术要求是什么

    今年的大部分讨论都集中在 EUV 的下一步发展以及高数值孔径 EUV 的时间表和技术要求上。ASML战略营销高级总监Michael Lercel表示,目标是提高
    发表于 08-11 11:25 374次阅读
    高<b class='flag-5'>数值孔径</b><b class='flag-5'>EUV</b>的技术要求是什么