0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

台积电张晓强:ASML High-NA EUV成本效益是关键

微云疏影 来源:综合整理 作者:综合整理 2024-05-15 14:42 次阅读

据悉,台积电业务开发及海外营运办公室资深副总经理暨副共同营运长张晓强博士在5月14日出席阿姆斯特丹技术研讨会时公开称ASML的High-NA EUV设备过于昂贵。尽管对其性能深感满意,但对于价格却并不感冒。

张晓强博士现任职于台积电,主要负责制定公司业务战略,包括技术规划和客户关系维护等工作。此外,他还共同领导海外运营团队,确保海外运营效率。

据今年2月份报道,荷兰半导体制造设备巨头ASML公布了High-NA Twinscan EXE光刻机的售价,高达3.5亿欧元(约合27.16亿元人民币)。而现有EUV光刻机的价格则为1.7亿欧元(约合13.19亿元人民币)。

值得注意的是,英特尔已成功购入ASML的High-NA EUV设备,并于4月19日宣布首台设备实现商业化生产。

关于台积电是否需要采用ASML的High-NA EUV设备来完成A16先进工艺节点(预计将于2026年年底前量产),张晓强博士在接受采访时表示,现阶段的EUV设备完全有能力支持A16的生产需求。

至于何时引入ASML的新技术,将视乎何时最为经济实惠以及如何平衡台积电的技术实力。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5426

    浏览量

    165289
  • 半导体制造
    +关注

    关注

    8

    文章

    375

    浏览量

    23831
  • ASML
    +关注

    关注

    7

    文章

    699

    浏览量

    40854
收藏 人收藏

    评论

    相关推荐

    ASML拟于2030年推出Hyper-NA EUV光刻机,将芯片密度限制再缩小

    ,约在2030年将提供新的Hyper-NA EUV技术。目前仍处于开发初期阶段的Hyper-NA将遵循High-NA系统,ASML今年初在英
    的头像 发表于 06-18 09:57 147次阅读

    Hyper-NA光刻系统,价格会再次翻倍吗?

    下一代的Hyper-NA EUV光刻系统了。   从High-NA到Hyper-NA   在描述光学仪器角分辨率的瑞利准则等式(CD = k1 • λ /
    的头像 发表于 06-18 00:30 1826次阅读
    Hyper-<b class='flag-5'>NA</b>光刻系统,价格会再次翻倍吗?

    今日看点丨ASML今年将向台积电、三星和英特尔交付High-NA EUV;理想 L9 出事故司机质疑 LCC,产品经理回应

    1. ASML 今年将向台积电、三星和英特尔交付High-NA EUV   根据报道,芯片制造设备商ASML今年将向台积电、英特尔、三星交付最新的高数值孔径极紫外光刻机(
    发表于 06-06 11:09 546次阅读

    阿斯麦和IMEC联合光刻实验室启用

    近日,比利时微电子研究中心(IMEC)与全球光刻技术领军企业阿斯麦(ASML)共同宣布,在荷兰费尔德霍芬正式启用联合High-NA EUV光刻实验室。
    的头像 发表于 06-06 10:09 396次阅读

    ASML创下新的EUV芯片制造密度记录,提出Hyper-NA的激进方案

    ASML在imec的ITF World 2024大会上宣布,其首台High-NA(高数值孔径)设备已经打破了之前创下的记录,再次刷新了芯片制造密度的标准。
    的头像 发表于 05-30 11:25 419次阅读

    台积电魏哲家与ASML高层会面,是否有意购买高数值孔径极紫外光机台?

    此前,该公司首席执行官魏哲家曾明确表示,过早引入High-NA EUV并无太大经济效益,直到日前其秘密访问ASML总部,使市场猜测台积电是否因此事发生重大转变。
    的头像 发表于 05-29 10:15 263次阅读

    台积电A16制程采用EUV光刻机,2026年下半年量产

    据台湾业内人士透露,台积电并未为A16制程配备高数值孔径(High-NAEUV光刻机,而选择利用现有的EUV光刻机进行生产。相较之下,英特尔和三星则计划在此阶段使用最新的High-NA
    的头像 发表于 05-17 17:21 454次阅读

    ASML发货第二台High NA EUV光刻机,已成功印刷10nm线宽图案

    ASML公司近日宣布发货了第二台High NA EUV光刻机,并且已成功印刷出10纳米线宽图案,这一重大突破标志着半导体制造领域的技术革新向前迈进了一大步。
    的头像 发表于 04-29 10:44 483次阅读

    英特尔突破技术壁垒:首台商用High NA EUV光刻机成功组装

    英特尔的研发团队正致力于对这台先进的ASML TWINSCAN EXE:5000 High NA EUV光刻机进行细致的校准工作,以确保其能够顺利融入未来的生产线。
    的头像 发表于 04-22 15:52 556次阅读

    阿斯麦(ASML)公司首台高数值孔径EUV光刻机实现突破性成果

    在半导体领域,技术创新是推动整个行业向前发展的重要动力。近日,荷兰阿斯麦(ASML)公司宣布,成功打造了首台采用0.55数值孔径(NA)投影光学系统的高数值孔径(High-NA)极紫外(EUV
    的头像 发表于 04-18 11:50 332次阅读
    阿斯麦(<b class='flag-5'>ASML</b>)公司首台高数值孔径<b class='flag-5'>EUV</b>光刻机实现突破性成果

    Intel和ASML宣布全球第一台High-NA光刻机“首光”

    荷兰ASML是世界上最先进的光刻设备制造商,最近该公司启动了第一台high-NA(numerical aperture,数值孔径)设备,以确保其正常工作。Intel也加入了这一行列,因为它是世界上第一家订购该设备的代工厂。
    的头像 发表于 04-08 10:12 541次阅读

    ASML 首台新款 EUV 光刻机 Twinscan NXE:3800E 完成安装

    ASML 官网尚未上线 Twinscan NXE:3800E 的信息页面。 除了正在研发的 High-NA EUV 光刻机 Twinscan EXE 系列,ASML 也为其 NXE
    的头像 发表于 03-14 08:42 287次阅读
    <b class='flag-5'>ASML</b> 首台新款 <b class='flag-5'>EUV</b> 光刻机 Twinscan NXE:3800E 完成安装

    IBM、美光、应用材料、东京电子宣布合作建设 High-NA EUV 研发中心

    Albany NanoTech Complex 建设下一代 High-NA EUV 半导体研发中心。 根据声明,负责协调该设施建设的非营利性机构 NY Creates 将利用 10 亿美元州政府资金
    的头像 发表于 12-14 08:44 365次阅读

    生产2纳米的利器!成本高达3亿欧元,High-NA EUV***年底交付 !

    ASML是欧洲最大半导体设备商,主导全球光刻机设备市场,光刻机是半导体制造关键步骤,但高数值孔径(High NAEUV,Peter Wen
    的头像 发表于 09-08 16:54 836次阅读

    ASML CEO 承诺年底前交付首台 High-NA EUV ***;苹果与Arm签署新的芯片技术长期协议,延续至2040年以后

    热点新闻 1、ASML CEO 承诺年底前交付首台 High-NA EUV 光刻机:体积和卡车相当,每台售价 3 亿美元 ASML 首席执行官 Peter Wennink 近日在接受采
    的头像 发表于 09-06 16:50 801次阅读
    <b class='flag-5'>ASML</b> CEO 承诺年底前交付首台 <b class='flag-5'>High-NA</b> <b class='flag-5'>EUV</b> ***;苹果与Arm签署新的芯片技术长期协议,延续至2040年以后