0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

阿斯麦(ASML)与比利时微电子(IMEC)联合打造的High-NA EUV光刻实验室正式启用

深圳市浮思特科技有限公司 2024-06-06 11:20 次阅读

近日,全球领先的半导体制造设备供应商阿斯麦(ASML)与比利时微电子研究中心(IMEC)共同宣布,位于荷兰费尔德霍芬的High-NA EUV光刻实验室正式启用。

这一里程碑式的事件标志着双方合作研发的高数值孔径(High NA)极紫外(EUV)光刻技术即将进入大批量生产阶段,预计将在2025至2026年间实现广泛应用。

该实验室的核心设备是一台名为TWINSCAN EXE:5000的原型高数值孔径EUV扫描仪,以及一系列配套的处理和计量工具。这些设备将共同助力未来芯片制造的突破,为行业带来前所未有的精度和效率。

IMEC与ASML的合作不仅推动了High-NA EUV技术的研发,也为领先的芯片制造商和其他相关供应商提供了早期使用这一价值3.5亿欧元先进工具的机会。这一举措不仅展示了双方对技术创新的共同追求,也体现了对全球半导体产业未来发展的深刻洞察。

ASML在周一的声明中表示,预计客户将在2025年至2026年开始使用这一工具进行商业制造。目前,ASML已经向美国英特尔公司出货了另一台测试机器,英特尔计划在其2025年的14A工艺中使用该设备。

分析人士认为,High-NA EUV技术的成熟和普及将对半导体产业产生深远影响。随着技术的不断进步,未来的芯片制造将更加精细、高效,从而推动整个产业的快速发展。IMEC与ASML的此次合作无疑为这一进程注入了强大的动力。

对于行业而言,High-NA EUV技术的广泛应用将带来更高的生产效率和更低的制造成本,进一步推动半导体产业的竞争和创新。同时,这也将促进相关产业链的发展,为全球经济注入新的活力。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 光刻
    +关注

    关注

    7

    文章

    300

    浏览量

    29945
  • IMEC
    +关注

    关注

    0

    文章

    54

    浏览量

    22129
  • ASML
    +关注

    关注

    7

    文章

    700

    浏览量

    40868
收藏 人收藏

    评论

    相关推荐

    ASML拟于2030年推出Hyper-NA EUV光刻机,将芯片密度限制再缩小

    ,约在2030年将提供新的Hyper-NA EUV技术。目前仍处于开发初期阶段的Hyper-NA将遵循High-NA系统,ASML今年初在英
    的头像 发表于 06-18 09:57 159次阅读

    光刻巨人去世 (ASML)光刻机巨头联合创始人去世

    圈内突发噩耗,光刻巨人去世; (ASML)光刻机巨头
    的头像 发表于 06-13 15:13 5388次阅读

    今日看点丨ASML今年将向台积电、三星和英特尔交付High-NA EUV;理想 L9 出事故司机质疑 LCC,产品经理回应

    1. ASML 今年将向台积电、三星和英特尔交付High-NA EUV   根据报道,芯片制造设备商ASML今年将向台积电、英特尔、三星交付最新的高数值孔径极紫外
    发表于 06-06 11:09 550次阅读

    IMEC联合光刻实验室启用

    近日,比利时微电子研究中心(IMEC)与全球光刻技术领军企业
    的头像 发表于 06-06 10:09 402次阅读

    ASML创下新的EUV芯片制造密度记录,提出Hyper-NA的激进方案

    ASMLimec的ITF World 2024大会上宣布,其首台High-NA(高数值孔径)设备已经打破了之前创下的记录,再次刷新了芯片制造密度的标准。
    的头像 发表于 05-30 11:25 428次阅读

    荷兰称可远程瘫痪台积电光刻

    disable)台积电相应机器,而且还可以包括最先进的极紫外光刻机(EUV)。 这就意味着AS
    的头像 发表于 05-22 11:29 5242次阅读

    台积电A16制程采用EUV光刻机,2026年下半年量产

    据台湾业内人士透露,台积电并未为A16制程配备高数值孔径(High-NAEUV光刻机,而选择利用现有的EUV光刻机进行生产。相较之下,英特
    的头像 发表于 05-17 17:21 456次阅读

    台积电张晓强:ASML High-NA EUV成本效益是关键

    据今年2月份报道,荷兰半导体制造设备巨头ASML公布了High-NA Twinscan EXE光刻机的售价,高达3.5亿欧元(约合27.16亿元人民币)。而现有EUV
    的头像 发表于 05-15 14:42 364次阅读

    ASML发货第二台High NA EUV光刻机,已成功印刷10nm线宽图案

    ASML公司近日宣布发货了第二台High NA EUV光刻机,并且已成功印刷出10纳米线宽图案,这一重大突破标志着半导体制造领域的技术革新向
    的头像 发表于 04-29 10:44 492次阅读

    英特尔突破技术壁垒:首台商用High NA EUV光刻机成功组装

    英特尔的研发团队正致力于对这台先进的ASML TWINSCAN EXE:5000 High NA EUV光刻机进行细致的校准工作,以确保其能
    的头像 发表于 04-22 15:52 566次阅读

    光刻机巨头业绩爆雷 ASML公司一季度订单下滑

    光刻机巨头业绩爆雷 ASML公司一季度订单下滑 光刻机巨头
    的头像 发表于 04-18 16:43 785次阅读

    (ASML)公司首台高数值孔径EUV光刻机实现突破性成果

    在半导体领域,技术创新是推动整个行业向前发展的重要动力。近日,荷兰(ASML)公司宣布,成功打造了首台采用0.55数值孔径(
    的头像 发表于 04-18 11:50 343次阅读
    <b class='flag-5'>阿</b><b class='flag-5'>斯</b><b class='flag-5'>麦</b>(<b class='flag-5'>ASML</b>)公司首台高数值孔径<b class='flag-5'>EUV</b><b class='flag-5'>光刻</b>机实现突破性成果

    Intel和ASML宣布全球第一台High-NA光刻机“首光”

    荷兰ASML是世界上最先进的光刻设备制造商,最近该公司启动了第一台high-NA(numerical aperture,数值孔径)设备,以确保其正常工作。Intel也加入了这一行列,因为它是世界上第一家订购该设备的代工厂。
    的头像 发表于 04-08 10:12 545次阅读

    生产2纳米的利器!成本高达3亿欧元,High-NA EUV***年底交付 !

    ASML是欧洲最大半导体设备商,主导全球光刻机设备市场,光刻机是半导体制造关键步骤,但高数值孔径(High NA
    的头像 发表于 09-08 16:54 839次阅读

    ASML CEO 承诺年底前交付首台 High-NA EUV ***;苹果与Arm签署新的芯片技术长期协议,延续至2040年以后

    热点新闻 1、ASML CEO 承诺年底前交付首台 High-NA EUV 光刻机:体积和卡车相当,每台售价 3 亿美元 ASML 首席执行
    的头像 发表于 09-06 16:50 806次阅读
    <b class='flag-5'>ASML</b> CEO 承诺年底前交付首台 <b class='flag-5'>High-NA</b> <b class='flag-5'>EUV</b> ***;苹果与Arm签署新的芯片技术长期协议,延续至2040年以后