0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

ASML拟于2030年推出Hyper-NA EUV光刻机,将芯片密度限制再缩小

半导体芯科技SiSC 来源:半导体芯科技SiSC 作者:半导体芯科技SiS 2024-06-18 09:57 次阅读

ASML再度宣布新光刻机计划。据报道,ASML预计2030年推出的Hyper-NA极紫外光机(EUV),将缩小最高电晶体密度芯片的设计限制。

ASML前总裁Martinvan den Brink宣布,约在2030年将提供新的Hyper-NA EUV技术。目前仍处于开发初期阶段的Hyper-NA将遵循High-NA系统,ASML今年初在英特尔奥勒冈厂首度安装High-NA系统。

报导,van den Brink上月在比利时举行的imecITF World演说指出,“长远而言,我们必须改善我们的光源系统,而且我们也必须采用Hyper-NA。与此同时,我们必须使我们所有系统的生产率提高到每小时400至500片晶圆”。

高数值孔径(High-NA)是将数值孔径(NA)从早期EUV工具的0.33 NA提高到0.55 NA。约三年前,ASML称,高数值孔径将协助芯片制造商在至少10年内达到2nm以下制程节点。现在ASML表示,约在2030年该公司将提供Hyper-NA,达到0.75 NA。意味着或许可以支持到2埃米(0.2nm)以下的制程节点。

不过,ASML也澄清,这是van den Brink关于Hyper-NA的愿景,目前该公司仍在进行可行性研究。根据imec高级图案化项目总监Kurt Ronse的说法,这是ASML首度将Hyper-NA纳入其路线图。他与ASML合作开发曝光机超过30年。

Kurt Ronse表示,“现在有许多研究要进行,我们能否提高超越0.55至0.75、0.85?Hyper-NA肯定会带来一些新挑战”。

声明:本网站部分文章转载自网络,转发仅为更大范围传播。 转载文章版权归原作者所有,如有异议,请联系我们修改或删除。

审核编辑 黄宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 光刻机
    +关注

    关注

    31

    文章

    1132

    浏览量

    46569
  • EUV
    EUV
    +关注

    关注

    8

    文章

    595

    浏览量

    85682
  • ASML
    +关注

    关注

    7

    文章

    699

    浏览量

    40854
收藏 人收藏

    评论

    相关推荐

    Hyper-NA光刻系统,价格会再次翻倍吗?

    下一代的Hyper-NA EUV光刻系统了。   从High-NAHyper-NA   在描述光学仪器角分辨率的瑞利准则等式(CD = k
    的头像 发表于 06-18 00:30 1826次阅读
    <b class='flag-5'>Hyper-NA</b><b class='flag-5'>光刻</b>系统,价格会再次翻倍吗?

    ASML创下新的EUV芯片制造密度记录,提出Hyper-NA的激进方案

    ASML在imec的ITF World 2024大会上宣布,其首台High-NA(高数值孔径)设备已经打破了之前创下的记录,再次刷新了芯片制造密度的标准。
    的头像 发表于 05-30 11:25 420次阅读

    Rapidus对首代工艺中0.33NA EUV解决方案表示满意,未采用高NA EUV光刻机

    在全球四大先进制程代工巨头(包括台积电、三星电子、英特尔以及Rapidus)中,只有英特尔明确表示将使用High NA EUV光刻机进行大规模生产。
    的头像 发表于 05-27 14:37 335次阅读

    后门!ASML可远程锁光刻机

    来源:国芯网,谢谢 编辑:感知芯视界 Link 5月22日消息,据外媒报道,台积电从ASML购买的EUV极紫外光刻机,暗藏后门,可以在必要的时候执行远程锁定! 据《联合早报》报道,荷兰方面
    的头像 发表于 05-24 09:35 242次阅读

    ASML考虑推出通用EUV光刻平台

    范登布林克指出,更高的数值孔径能提高光刻分辨率。他进一步解释说,Hyper NA 光刻机将简化先进制程生产流程,避免因使用 High NA
    的头像 发表于 05-23 09:51 177次阅读

    台积电A16制程采用EUV光刻机,2026年下半年量产

    据台湾业内人士透露,台积电并未为A16制程配备高数值孔径(High-NAEUV光刻机,而选择利用现有的EUV光刻机进行生产。相较之下,英特
    的头像 发表于 05-17 17:21 454次阅读

    台积电张晓强:ASML High-NA EUV成本效益是关键

    据今年2月份报道,荷兰半导体制造设备巨头ASML公布了High-NA Twinscan EXE光刻机的售价,高达3.5亿欧元(约合27.16亿元人民币)。而现有EUV
    的头像 发表于 05-15 14:42 357次阅读

    ASML发货第二台High NA EUV光刻机,已成功印刷10nm线宽图案

    ASML公司近日宣布发货了第二台High NA EUV光刻机,并且已成功印刷出10纳米线宽图案,这一重大突破标志着半导体制造领域的技术革新向前迈进了一大步。
    的头像 发表于 04-29 10:44 483次阅读

    英特尔突破技术壁垒:首台商用High NA EUV光刻机成功组装

    英特尔的研发团队正致力于对这台先进的ASML TWINSCAN EXE:5000 High NA EUV光刻机进行细致的校准工作,以确保其能够顺利融入未来的生产线。
    的头像 发表于 04-22 15:52 556次阅读

    阿斯麦(ASML)公司首台高数值孔径EUV光刻机实现突破性成果

    )光刻机,并已经成功印刷出首批图案。这一重要成就,不仅标志着ASML公司技术创新的新高度,也为全球半导体制造行业的发展带来了新的契机。目前,全球仅有两台高数值孔径EUV
    的头像 发表于 04-18 11:50 332次阅读
    阿斯麦(<b class='flag-5'>ASML</b>)公司首台高数值孔径<b class='flag-5'>EUV</b><b class='flag-5'>光刻机</b>实现突破性成果

    ASML 首台新款 EUV 光刻机 Twinscan NXE:3800E 完成安装

    ASML 官网尚未上线 Twinscan NXE:3800E 的信息页面。 除了正在研发的 High-NA EUV 光刻机 Twinscan EXE 系列,
    的头像 发表于 03-14 08:42 287次阅读
    <b class='flag-5'>ASML</b> 首台新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻机</b> Twinscan NXE:3800E 完成安装

    英特尔抢下6种ASML HIGH NA光刻机

    如果我们假设光刻机成本为 3.5 亿至 4 亿美元,并且 2024 年 10 个光刻机的HIGH NA 销售额将在 35亿至40亿美元之间。
    的头像 发表于 12-28 11:31 583次阅读

    三星希望进口更多ASML EUV***,5年内新增50台

    EUV曝光是先进制程芯片制造中最重要的部分,占据总时间、总成本的一半以上。由于这种光刻机极为复杂,因此ASML每年只能制造约60台,而全球5家芯片
    的头像 发表于 11-22 16:46 497次阅读

    ASML CEO 承诺年底前交付首台 High-NA EUV ***;苹果与Arm签署新的芯片技术长期协议,延续至2040年以后

    热点新闻 1、ASML CEO 承诺年底前交付首台 High-NA EUV 光刻机:体积和卡车相当,每台售价 3 亿美元 ASML 首席执行
    的头像 发表于 09-06 16:50 801次阅读
    <b class='flag-5'>ASML</b> CEO 承诺年底前交付首台 High-<b class='flag-5'>NA</b> <b class='flag-5'>EUV</b> ***;苹果与Arm签署新的<b class='flag-5'>芯片</b>技术长期协议,延续至2040年以后

    ASML:没向中国推出特别版***

    ASML:没向中国推出特别版光刻机 就是荷兰出台光刻机限制出口禁令后ASML给出了回应,
    的头像 发表于 07-07 12:32 1203次阅读