0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技推出业界首款PCIe 7.0 IP解决方案

新思科技 来源:新思科技 2024-06-29 15:13 次阅读

万物智能时代如何创新——来自Aart和Sassine的思考

新思科技联合创始人兼执行主席Aart de Geus和新思科技首席执行官兼总裁Sassine Ghazi接受全球知名科技播客《Acquired》栏目邀请,共同分享了当前全球EDA电子设计自动化)领域的前沿技术进展,以及EDA如何加速人工智能AI)、智能汽车等核心科技产业变革,赋能万物智能时代加速到来。

新思科技推出业界首款PCIe 7.0 IP解决方案,加速万亿参数领域的芯片设计

新思科技推出业界首款完整的PCIe 7.0 IP解决方案,包括控制器、IDE安全模块、PHY和验证IP。该解决方案可以助力芯片制造商满足计算密集型AI工作负载在传输海量数据时对带宽和延迟的严苛要求,同时支持广泛的生态系统互操作性。PCIe 7.0验证IP现已上市。

令人痛苦的X!为什么调试X那么困难?

造成调试困难的因素有很多,其中包括取值未知(“X”)的情况。X是VHDL、Verilog、SystemVerilog等语言标准所定义的众多逻辑值之一,可以代表1、0或Z,也就是说X的值是未知的,从而能够预示设计或验证环境逻辑仿真中逻辑信号的不确定性。新思科技Verdi回归调试自动化的XRCA组件是一种先进的根本原因分析工具,不仅可以自动扫描FSDB中的X信号并追踪X信号的根本原因,还可以批量处理大量X信号以缩短调试时间。

仿真一次就够了!如何避免耗时又费力的重新编译和重新仿真?

新思科技Verdi调试平台的功能非常丰富,有助于提高调试效率。当开发者早上开始准备调试时,可借助Verdi提供的功能快速投入到工作中。本文分享的所有功能均有助于避免耗时费力的重新编译和重新仿真工作。充分利用一次仿真,缩短调试周转时间!

华中科技大学+新思科技 | 光学设计与仿真夏令营开启报名

华中科技大学光电信息学院与新思科技为深化产教融合,于2024年7月8-10日联合举办“光学设计与仿真暑期夏令营”。活动邀请了光电领域的知名专家和新思科技资深开发者,讲授光学设计与仿真领域的基础知识、研究进展及前沿热点,并进行实践教学。

新思科技+ Arm:汽车创新必备解决方案

为了满足便利性、安全性、自主性以及电气化等新的驾驶需求,汽车行业正朝着软件定义汽车(SDV)的方向转变,这需要高性能、高能效的芯片来执行不断增加的软件工作负载。新思科技全力支持基于Arm的汽车供应链,助力从基于Arm的优化芯片设计,直至车辆软件验证测试的开发。

降低高性能计算SoC设计能耗的最佳时机是什么?

能源利用效率是可持续发展的关键所在。万物智能时代,海量数据的处理和人工智能技术的快速发展,给全球能源供给带来前所未有的压力。超大规模数据中心的能耗都是必须要立即处理的迫切问题。为了帮助开发者实现更节能的SoC,新思科技提供了面向低功耗设计的端到端解决方案,其中涵盖设计、验证和IP等多个方面。

万物智能下的更多可能?AI+EDA引领芯片设计的下一场革命

芯片设计复杂性的快速指数级增长给开发者带来了巨大的挑战。早在AI大热之前,芯片设计行业就把目光放到了AI,探索AI+EDA的更多可能性。近年来,新思科技持续引领着AI+EDA芯片设计趋势。目前,新思科技已将AI全面引入Synopsys.ai整体解决方案,不仅带来了效率的显著提升,而且帮助客户实现了突破性的设计质量和生产力提升。

融入“制造意识”的设计,实现超构透镜与ARVR首次即正确的设计方案

融入“制造意识”(Manufacturing Awareness)的设计是一种设计哲学,它强调在产品设计和开发过程中对制造过程的理解和考虑。随着增强现实(AR)和虚拟现实(VR)技术的发展,对光子器件的需求日益增加,对高效、小型化组件的需求也变得更为迫切。因此在设计中融入制造意识将有助于提升产品设计性能、减少设计-制造-测试循环,从而节省时间和成本。

AI如何助力芯片测试突破成本和时间的双重挑战?

在消费、高性能计算(HPC)和汽车等多个领域,采用的先进节点技术和异构集成展开测试会导致制造测试成本飙升。新思科技TSO.ai是一款AI驱动型测试空间优化解决方案,也是Synopsys.ai解决方案的关键组成部分。它利用了AI来优化门级网表上的ATPG向量生成,从而减少生产测试向量,进而降低测试成本。

审核编辑:彭菁

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    449

    文章

    48601

    浏览量

    413109
  • PCIe
    +关注

    关注

    13

    文章

    1118

    浏览量

    81352
  • 人工智能
    +关注

    关注

    1781

    文章

    45037

    浏览量

    232419
  • 新思科技
    +关注

    关注

    5

    文章

    737

    浏览量

    50121

原文标题:这个科技含量,city不city

文章出处:【微信号:Synopsys_CN,微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    思科技发布PCIe 7.0 IP解决方案,赋能AI与HPC前沿设计

    在全球芯片设计领域,新思科技(Synopsys)再次展现了其技术领先的实力。近日,公司宣布推出业界首款完整的PCIe 7.0
    的头像 发表于 06-25 10:12 241次阅读

    思科推出业界首PCIe 7.0 IP解决方案

    思科技(Synopsys)近日宣布,推出业界首款完整的PCIe 7.0 IP
    的头像 发表于 06-25 09:46 170次阅读

    PCIe 7.0规范何时最终确定?

    PCIe 7.0 规范的目标是将 PCIe 6.0 规范(64 GT/s)的数据速率提高一倍,达到 128 GT/s。
    的头像 发表于 04-08 09:34 322次阅读

    柏恩Bourns发布业界首款平面信号BMS变压器

    美国柏恩 Bourns 全球知名电源、保护和传感解决方案电子组件领导制造供货商,发布业界首款平面信号BMS变压器。
    的头像 发表于 03-28 14:01 504次阅读

    楷登电子Cadence推出业界首个全面的AI驱动数字孪生解决方案

    中国上海,2024 年 3 月 22 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)推出业界首个全面的 AI 驱动数字孪生解决方案,旨在促进数据中心的可持续发展及现代化的设计,标志着在优化数据中心能效和运营
    的头像 发表于 03-22 11:38 447次阅读

    思科推出业界首个1.6T以太网IP整体解决方案

    思科技(Synopsys)日前重磅推出业界首个1.6T以太网IP整体解决方案,这一创新性的方案
    的头像 发表于 03-19 10:24 228次阅读

    思科技正式推出业界首个1.6T以太网IP整体解决方案

    思科技1.6T以太网IP整体解决方案现已上市并被多家客户用,与现有实现方案相比,其互连功耗最多可降低50%
    的头像 发表于 03-19 10:23 230次阅读

    思科推出业界首个1.6T高速以太网解决方案

    思科技(Synopsys)近日在数据中心领域取得了重大突破,推出业界首个1.6T高速以太网解决方案,为日益增长的人工智能(AI)计算需求提供了强有力的网络支持。这一创新
    的头像 发表于 03-08 11:06 386次阅读

    高通推出业界首个车规级Wi-Fi 7接入点解决方案

    随着汽车向软件定义汽车架构转型,连接技术已成为支撑这一变革的基石。为了应对日益增长的车内连接需求,高通技术公司近日推出了其最新的骁龙汽车智联平台产品——业界首个车规级Wi-Fi 7接入点解决方案QCA6797AQ。
    的头像 发表于 02-26 11:14 429次阅读

    铠侠正式发布业界首款车载UFS 4.0嵌入式闪存

    存储器解决方案的全球领导者铠侠株式会社宣布,该公司已开始提供业界首款面向车载应用的通用闪存(UFS)4.0版嵌入式闪存设备的样品。
    的头像 发表于 02-22 16:21 756次阅读

    美光推出业界首款标准低功耗压缩附加内存模块

    美光科技近日宣布推出业界首款标准低功耗压缩附加内存模块(LPCAMM2),这款产品提供了从16GB至64GB的容量选项,旨在为PC提供更高性能、更低功耗、更紧凑的设计空间及模块化设计。
    的头像 发表于 01-19 16:20 437次阅读

    思科推出业界领先的广泛车规级接口IP和基础IP产品组合

    和 M-PHY ,以及 USB IP 产品都遵循了 TSMC N5A 工艺领先的车载等级设计规则。 新思科技宣布面向台积公司N5A工艺推出业界领先的广泛车规级接口
    的头像 发表于 10-31 09:18 872次阅读

    思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

    思科技接口和基础 IP 组合已获多家全球领先企业采用,可为 ADAS 系统级芯片提供高可靠性保障 摘要: 面向台积公司N5A工艺的新思科IP产品在汽车温度等级2级下符合 AEC-Q
    发表于 10-23 15:54 1111次阅读

    思科技成功实现与英特尔PCIe 6.0测试芯片的互操作性

    Express (PCIe)6.0 IP在端到端64GT/s的连接下,成功实现与英特尔PCIe 6.0测试芯片的互操作性。这一全新里程碑也将保证,在未来无论是集成了新思科技还是英特尔
    的头像 发表于 10-16 09:22 580次阅读

    思科PCIe 6.0 IP与英特尔PCIe 6.0测试芯片实现互操作

    了新思科技或是英特尔PCIe 6.0解决方案的产品,都将在整个生态系统中进行有效的互联互通,从而降低设计风险并加速产品上市时间
    发表于 10-12 15:11 216次阅读