0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

什么是AWG?AWG和其他信号发生器的差别

是德科技KEYSIGHT 来源:是德科技KEYSIGHT 2024-07-03 11:28 次阅读

引言

任意波形发生器(Arbitrary Waveform Generator, 以下简称“AWG”)是从信号发生器演进过来的一款信号源。1988年是德科技推出了第一款数字架构的模拟带宽50MHz的AWG HP8770A。

fc3f31f8-2dcb-11ef-a4c8-92fbcf53809c.png

图1:HP8770A 产品背面

经过近40年的发展,AWG 早已经成了各大应用领域必不可少的信号源!是德科技也已拥有了一系列AWG产品。

fc56eb86-2dcb-11ef-a4c8-92fbcf53809c.png

图2:一张图了解是德科技AWG 家族

小k特意高亮了两款AWG,M8199B 和M8198A,是我们的最近的新品AWG,它们分别是高采样率和深存储的代表。

今天这篇轻科普文章,就带大家了解什么是AWG,它的应用场景以及和信号发生器的区别吧。

1.AWG的基本架构及指标解析

1.1 基本架构

为了更好地理解使用AWG,让我们来看看它的基本架构——它与传统的信号发生器有很大的不同。

fcca97a2-2dcb-11ef-a4c8-92fbcf53809c.png

图3:AWG 基本架构

这个框图显示了一个单通道AWG。

首先,使用AWG自带的软面板软件、编程语言或其他用户自定义软件,可以编写、计算并生成信号波形文件。该波形会被下载到AWG的波形存储器中。

其次,在运行时,FPGA从存储器中读取数字信息并将其发送到数模转换器。FPGA还对波形数据进行排序和实时处理。

最后,DAC数字信号采样转换成模拟信号。DAC以一定的采样率工作。再之后则是重构滤波器。在实际的AWG中,重构信号通常是通过一些信号处理链路来实现的。信号处理链路包括DAC本身、一组低通(有时是带通)滤波器和一组放大器。一些AWG可能包含优化时域或频域信号性能的特殊输出模式。

对了,在运行期间,采样时钟发生器的频率通常不会改变(除非有特殊需求)。如果需要产生不同的输出频率,可以通过使用不同的波形文件来实现,而不是改变采样时钟振荡器

当然,我们从图2中看到,AWG通常有多个通道,且能通过级联实现更多通道。多通道AWG系统会将同一个采样时钟级联到不同板卡和机箱中,以实现多通道信号的同时启停以及信号相参。

1.2 指标解析

2.AWG的主要应用场景

下图中我们列举了一些AWG的主要应用场景。

fcefdbfc-2dcb-11ef-a4c8-92fbcf53809c.png

图4:AWG 应用场景

在左侧红色区域展示了对信号带宽的需求。其中比较普遍的应用——高速数字应用,常见的如NRZ、PAM4信号,400GE/200GE/50GE三种标准中都采用了26.5625 GBaud或者 53.125 GBaud PAM4调制技术。又如目前比较热门的太赫兹(THz)及6G预研都需要产生一个超大带宽的基带信号。此外还有一些商业行业标准,例如HDMI,以及一些常用接口,如MIPI D-PHY等。在右侧蓝色区域展示了对深动态范围的需求,这些需求通常都针对RF、卫星通信、PA/LNA、5G及新调制信号这类的应用。例如在测试WIFI或5G NR通信信号时,我们需要产生几百兆赫或千兆赫的调制信号。同时还要求在生成大功率信号的情况下提供尽可能小的仪表底噪和优秀的小信号质量,以实现更大的动态范围。

3. AWG 和其他信号发生器的差别

这边列举了不同种类的信号发生器,如脉冲发生器、BERT,函数发生器或噪声源。这些信号发生器都是为了在某些特殊的应用领域制造纯信号而设计的。

3.1 信号源 VS AWG

首先是信号源,对比信号源,AWG可以有更宽的调制带宽。大多数信号源的问题是它们矢量调制带宽通常只有几十MHz或者几百MHz,要很好很昂贵的矢量源才能到2GHz,4GHz甚至5GHz。任意波形发生器的带宽通常可以认为是最高采样率的一半,所以频谱要比普通矢量源宽得多。同时AWG也可以很轻易地通过编写波形文件来产生多个载波,而不需要有多个实际的信号源或信号发生器。但AWG与经典的信号源相比也有劣势,AWG没有那么好的动态范围。AWG的无杂散动态范围SFDR会比矢量源稍差。

3.2 噪声源VS AWG

噪声源产生噪声来模拟随机抖动或幅度噪声。而AWG可以做更复杂,更灵活的信号。你可以做出不同的形状,用不同的带宽的噪声。但最大的问题是,因为AWG的memory是固定的,所以AWG产生的信号实际上并不是随机的。换句话说,内存深度决定了AWG产生的噪声的随机性。

3.3 函数发生器VS AWG

对于函数发生器来说,AWG的优点是功能更多更全面,性能更强大,但是对于相同的带宽,AWG通常更昂贵。但AWG没有屏幕,通常需要连接显示器、鼠标等其他外设,而函数发生器可以在前面板上使用按调出预定义的波形,并创建标准波形等。

3.4 BERT VS AWG

相比于BERT,AWG提供了更多的灵活性,例如可变上升时间,多级信号,预失真,但不能做到真正的RJ。

最后小k还给大家带来

2个使用AWG的Tips

1如何校准AWG ?

在处理宽带调制时面临的挑战之一是在很大的频率范围内获得平坦的频率和相位响应。由于AWG波形是用数学方法计算的,因此对于任何幅度或相位的非平坦性进行校正相当容易。

如果AWG输出和通道的插入损耗(或S21)已知,则可以预失真所需的信号,以补偿通道的插入损耗。图6显示了M8195A 1通道(蓝色)和2通道(红色)的频率响应。虽然两个通道表现出相似的行为,但它们在直流到25GHz(该仪器的指定带宽)之间的频率响应中都有1到2dB的明显起伏。在25 GHz以上,频率响应急剧下降,但即使达到28 GHz,频率响应仍在-10 dB以上。

图7显示了在补偿平坦度后的频率响应,最高可达28 GHz。是德科技的高速AWG提供两种方法来执行频率/相位响应校正。

fd97dcbc-2dcb-11ef-a4c8-92fbcf53809c.png

图6:校准前M8195A Ch1 & Ch2 的频率响应

fda4f1e0-2dcb-11ef-a4c8-92fbcf53809c.png

图7:校准后的频率响应

首先,让我们看看内置校准:在制造过程中,AWG的每个通道都具有其特定的频率和相位响应特征,并将结果存储在AWG模块中。当应用软件计算波形时,它可以读取内置的校准表,并使用该数据去嵌入频率响应,以便在AWG的连接器处生成干净的信号。参考平面位于AWG的输出连接器处。如果用户可以提供额外的电缆,适配器,放大器等器件链路的S参数文件,那么可以再延申校准平面。但是测量这些s参数可能很困难,并且需要将某些拆开,这增加了测量的不确定性。

另一种方法是使用一个宽带接收机,在AWG的另一端测量信号的实际表现,无需拆开外部电路,如电缆、适配器、放大器等等连接,从而将参考平面移动到被测设备的输入端。校准测量本身可以使用实时示波器或采样示波器进行。

2如何实现更长的播放时间(Playback Time)?

在1.2.3 存储深度 指标讲解中,我们提到了一个公式:

Memory ÷sample rate =playback time

正如我们所了解的,播放时间的有限因素之一是内存的大小。我们可以通过将内存的大小除以采样率来计算播放时间。

那如何在给定的内存大小和采样率下实现更长的播放时间呢?

我们使用了一个简单的技巧来通过使用序列控制器来扩展播放时间。我们只存储了一部分波形,也称为波形段。使用序列控制器内存,我们能够排列不同的波形段,从而创建出更复杂的波形。序列控制器内存包括一个表格,其中包含了哪个段在波形中的哪个时间播放,以及重复率(循环次数)。在这里,所有保存的波形段可以在所需的波形中播放一次,或者可以分配到不同的位置并具有特定的循环次数。因此,您可以看到序列控制器内存是将所有波形段排列成所需波形的地方。

这种方法利用了序列控制器的功能,通过存储波形段并将它们排列起来,从而扩展了播放时间。通过在序列控制器内存中设置不同的波形段,我们可以创建出更复杂的波形。这些波形段的播放时间和重复率都可以根据需要进行调整,从而实现所需的波形。

fdb74156-2dcb-11ef-a4c8-92fbcf53809c.png

图8:Waveform Sequencing

关于是德科技

是德科技(NYSE:KEYS)启迪并赋能创新者,助力他们将改变世界的技术带入生活。作为一家标准普尔 500 指数公司,我们提供先进的设计、仿真和测试解决方案,旨在帮助工程师在整个产品生命周期中更快地完成开发和部署,同时控制好风险。我们的客户遍及全球通信、工业自动化、航空航天与国防、汽车、半导体和通用电子等市场。我们与客户携手,加速创新,创造一个安全互联的世界。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

原文标题:轻科普——任意波形发生器(AWG)架构及指标解析

文章出处:【微信号:是德科技KEYSIGHT,微信公众号:是德科技KEYSIGHT】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    任意波形发生器(AWG)

    不管您在磁盘驱动参数测试中需要由精确的Lorentzian脉冲定形的数据流,还是需要复杂调制RF信号测试基于GSM或基于CDMA的手机,任意波形发生器AWG)http
    发表于 08-21 09:57

    求购AWG510、AWG520任意波形发生器

    求购AWG510、AWG520任意波形发生器AWG510、AWG520任意波形发生器|函数
    发表于 07-03 10:04

    回收库存 AWG7122C 信号发生器

    可控制抖动、噪声和其他信号衰减的功能,是用于调试串行数据设计、宽频RF设计和其它复杂设计的接收压力测试的理想解决方案。AWG7000高性能任意波形
    发表于 09-17 09:46

    泰克AWG5204回收 收购AWG5204任意波形发生器

    泰克AWG5204回收 收购AWG5204任意波形发生器全国长期回收Tektronix AWG5204任意波形发生器联 系人:***(陈先生
    发表于 06-29 15:37

    回收泰克AWG70001B任意波形发生器,回收AWG70001B

    的理想解决方案。精确地制作或重建现实生活中的无线信号,这可能更具挑战性,因为大多数解决方案需要在速度、带宽、信号保真度和内存之间取得平衡。AWG5200/70000系列任意波形发生器
    发表于 06-30 09:07

    AWG7062B 供应闲置 波形发生器AWG7062B

    它程序AWG7000B 系列任意波形发生器为日益提高的测量挑战提供了业内优秀的混合信号激励解决方案AWG7000B系列任意波形发生器提供了
    发表于 07-31 11:24

    AWG710B全国高价回收泰克《AWG710B任意波形发生器

    损害从MathCad、MATLAB、Excel等导入的波形AWG710B任意波形发生器以4.2gs/s的速度提供世界级的信号保真度,以解决不断增加的测量挑战AWG710B任意波形
    发表于 10-10 11:01

    回收AWG5202_回收泰克AWG5202二手任意波形发生器

    处理仪器:二手,销售,维修,租凭,供应,计量,升级。==================================================AWG5200 任意波形发生器可满足苛刻的信号
    发表于 06-09 16:20

    回收AWG5204_泰克AWG5204信号发生器收购

    回收AWG5204_泰克AWG5204信号发生器收购==================================================我们的合作,就是双赢,我们双赢就
    发表于 06-09 16:26

    回收新旧 波形发生器 AWG7082

    ,支持网络连接,提供内置DVD、可拆卸硬盘、LAN、eSATA 和USB 端口回放示波器和实时频谱分析仪捕获的信号,包括增强效应。还可加入增强效应,如增加预失真效应从 三方工具中导入波形矢量,如MathCAD、MATLAB、Excel 及其他程序
    发表于 07-13 09:41

    长期回收awg5014 泰克|awg 5004|任意波形发生器

    数字输出功能的信号发生器将是 理想的工具,借助这一工具,用户能够有效复现和生成理想信号、失真信号或者噪声、抖动、毛刺和其它系统缺陷等 “ 真实情况 ” 下的
    发表于 12-31 17:55

    AWG7122C|信号发生器|AWG7122C 现金收购

    ,并具备可控制抖动、噪声和其他信号衰减的功能,是用于调试串行数据设计、宽频RF设计和其它复杂设计的接收压力测试的理想解决方案。AWG7000高性能任意波形
    发表于 01-06 12:55

    AWG7122B|信号发生器|现金回收

    AWG7122B|信号发生器|现金回收 欧阳R:*** QQ:1226365851温馨提示:如果您找不到联系方式,请在浏览上搜索一下,旺贸通仪器仪回收工厂或个人、库存闲置、二手仪器及
    发表于 01-06 13:04

    AWG7122C任意波形发生器的主要特性

    泰克AWG7122C任意波形发生器AWG7000系列任意波形发生器具有zui高 24 GS/s 和 10 位垂直分辨率,为不断增加的测量挑战提供业内至家的
    发表于 12-07 13:48 654次阅读

    AWG710B泰克AWG710B任意波形发生器

    泰克AWG710B任意波形发生器 AWG710B 是泰克的任意波形发生器。任意波形发生器 (AWG
    的头像 发表于 07-12 14:24 317次阅读
    <b class='flag-5'>AWG</b>710B泰克<b class='flag-5'>AWG</b>710B任意波形<b class='flag-5'>发生器</b>