0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

台积电角力玻璃基板:和英特尔、三星竞争,首批芯片最快有望 2025 年投产

半导体芯科技SiSC 来源:IT之家 作者:IT之家 2024-09-03 14:33 次阅读

来源:IT之家

近日DigiTimes发布博文,表示在英伟达的不断催促下,台积电不仅开足马力进军半导体扇出面板级封装(FOPLP),而且大力投资玻璃基板研发工艺,以期实现突破。

台积电将会在 9 月召开的半导体会议上,公布 FOPLP 封装技术细节,并公开玻璃基板尺寸规格。玻璃基板制程涵盖玻璃金属化(Glass Metallization)、后续的 ABF 压合制程,及最终的玻璃基板切割。在玻璃金属化完成后的玻璃又称做“Glass Core”,制程涉及 TGV(Through-Glass Via)、湿蚀刻(Wet Etching)、AOI 光学检测、镀膜(Sputtering)及电镀(Plating)。玻璃基板的尺寸为 515×510mm,在半导体和载板制程中均属于全新制程,其关键在于第一道工序“TGV”。尽管这项技术早在 10 年前就已问世,但其速度未能满足量产需求,仅能达到每秒 10~50 个孔,使得玻璃基板技术至今尚未能起飞。目前仅英特尔宣称具备量产能力,尚未有其他厂商能提供完整且成熟的制程设备或服务,但业界则盛传台积电已重启研发。关于玻璃基板何时投放市场,之前的一篇报道披露,主要制造商都把解决方案投放市场的时间窗口定在了 2025-2026 年,其中英特尔和台积电走在了前列。

【近期会议】

10月30-31日,由宽禁带半导体国家工程研究中心主办的“化合物半导体先进技术及应用大会”将首次与大家在江苏·常州相见,邀您齐聚常州新城希尔顿酒店,解耦产业链市场布局!https://w.lwc.cn/s/uueAru

11月28-29日,“第二届半导体先进封测产业技术创新大会”将再次与各位相见于厦门,秉承“延续去年,创新今年”的思想,仍将由云天半导体与厦门大学联合主办,雅时国际商讯承办,邀您齐聚厦门·海沧融信华邑酒店共探行业发展!诚邀您报名参会:https://w.lwc.cn/s/n6FFne


声明:本网站部分文章转载自网络,转发仅为更大范围传播。 转载文章版权归原作者所有,如有异议,请联系我们修改或删除。联系邮箱:viviz@actintl.com.hk, 电话:0755-25988573



审核编辑 黄宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    450

    文章

    49583

    浏览量

    416879
  • 英特尔
    +关注

    关注

    60

    文章

    9737

    浏览量

    170614
  • 台积电
    +关注

    关注

    43

    文章

    5529

    浏览量

    165668
  • 玻璃基板
    +关注

    关注

    0

    文章

    63

    浏览量

    10200
  • 三星
    +关注

    关注

    0

    文章

    1421

    浏览量

    30696
收藏 人收藏

    评论

    相关推荐

    英特尔是如何实现玻璃基板的?

    在今年9月,英特尔宣布率先推出用于下一代先进封装的玻璃基板,并计划在未来几年内向市场提供完整的解决方案,从而使单个封装内的晶体管数量不断增加,继续推动摩尔定律,满足以数据为中心的应用的算力需求
    的头像 发表于 07-22 16:37 205次阅读

    英特尔计划最快2026量产玻璃基板

    在全球半导体封装技术的演进中,英特尔近日宣布了一项引人注目的计划——最快在2026实现玻璃基板的量产。这一前瞻性的举措不仅展示了
    的头像 发表于 07-01 10:38 449次阅读

    英特尔CEO誓言夺回芯片领导地位

    英特尔CEO帕特·基辛格近日在采访中坚定表示,公司的首要任务是夺回芯片领域的领导地位。近年来,随着
    的头像 发表于 06-07 09:23 517次阅读

    今日看点丨ASML今年将向三星英特尔交付High-NA EUV;理想 L9 出事故司机质疑 LCC,产品经理回应

    1. ASML 今年将向三星英特尔交付High-NA EUV   根据报道,芯片制造设
    发表于 06-06 11:09 748次阅读

    英特尔1nm投产时间曝光!领先于

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月28日 16:28:32

    营收超越英特尔三星,首次成为全球最大半导体制造商

    报告指出, 2023 营收达到 693 亿美元(当前约 4989.6 亿元人民币),超过了英特尔的 542.3 亿美元(当前约 39
    的头像 发表于 02-27 10:12 542次阅读

    英特尔宣布推进1.4纳米制程

    三星已经推出3纳米制程芯片,而英特尔则刚刚实现了5纳米制程。然而,这一决定表明
    的头像 发表于 02-23 11:23 376次阅读

    英特尔采购2nm产能,助推2026处理器性能升级

    然而,掌握该技术优势的却非独享收益。苹果作为优质客户,率先于2025运用此工艺生产iPhone 17 Pro机型A19Pro
    的头像 发表于 01-31 13:54 436次阅读

    英特尔,大战一触即发

    三星可能会跟随英特尔落后一两进入背面供电领域。
    的头像 发表于 01-03 16:09 745次阅读
    <b class='flag-5'>台</b><b class='flag-5'>积</b><b class='flag-5'>电</b>和<b class='flag-5'>英特尔</b>,大战一触即发

    芯片制造行业迈向新材料新时代

    预计到2025,晶圆大规模生产2纳米节点的竞争将愈演愈烈,以
    的头像 发表于 12-27 14:13 421次阅读

    英特尔希望在2024超越其芯片制造竞争对手

    过去五来,英特尔在先进芯片制造方面一直落后于三星
    的头像 发表于 12-25 14:50 550次阅读

    英特尔有望于2024领先芯片制造竞争对手

    近五来,英特尔在高级芯片制造领域落后于三星
    的头像 发表于 12-19 11:58 575次阅读
    <b class='flag-5'>英特尔</b><b class='flag-5'>有望</b>于2024<b class='flag-5'>年</b>领先<b class='flag-5'>芯片</b>制造<b class='flag-5'>竞争</b>对手

    英伟达击败英特尔,登上王座

    虽然三星英特尔芯片行业竞争对手到 202
    的头像 发表于 11-25 14:43 777次阅读
    英伟达击败<b class='flag-5'>台</b><b class='flag-5'>积</b><b class='flag-5'>电</b>和<b class='flag-5'>英特尔</b>,登上王座

    关于1.4nm,重申

    首先看南韩三星电子,他们近期矢言要在2027推出1.4纳米芯片制造,超越
    的头像 发表于 11-23 16:04 537次阅读

    有望2025量产2nm芯片

           在台的法人说明会上据总裁魏哲家透露台
    的头像 发表于 10-20 12:06 1212次阅读