0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 2024.1版本的新特性(1)

FPGA技术驿站 来源:FPGA技术驿站 2024-09-18 10:30 次阅读

Vivado 2024.1已正式发布,今天我们就来看看新版本带来了哪些新特性。

Open Dataflow Design

无论是Synthesis阶段还是Implementation阶段,打开Vivado图形界面,在导航栏下都能看到新增了一个选项Open Dataflow Design,如下图所示。这个功能对于我们分析系统的数据流非常有用。

wKgZombqO1yAfHg5AABlB1o8-7I729.jpg

如下图所示,显示了一个设计的DFV(DataFlow Viewer)视图,可以看到DFV只会显示模块的输入/输出管脚以及和其他模块的连接关系,进而表征了数据流向,这正是其聚焦点。一些控制信号时钟、复位、读/写使能以及读/写地址等被剔除。这也是其与常规的Schematic视图的区别。DFV的一个典型应用场景是手工布局:根据互联程度判定关键模块,进而在画Pblock时将这些关键模块放置在同一个Pblock内。

wKgaombqO1yAAEvqAAD6YG1nkUE796.jpg

此外,一旦打开DFV视图,Vivado还会同时给出设计流水的层次化视图,如下图所示,便于用户观察某个模块下的数据流。

wKgaombqO1yAJxypAACqySFCRa8818.jpg

GEN_REPORTS_PARALLEL

Vivado 2024.1的Implementation Run新增了一个属性:GEN_REPORTS_PARALLEL,默认情况下该属性是被勾选上的,如下图所示。顾名思义,其作用是在Implementation阶段并行生成各种报告,从而缩短编译时间。下图显示了用Vivado 2023.2创建的工程Implementation所需的编译时间(impl_1),将此工程采用2024.1进行编译,其中impl_1_copy1勾选了GEN_REPORTS_PARALLEL属性,而impl_1_copy2没有勾选该属性,可以看到两者有8秒的时间差异,同时相比于2023.2,编译时间缩短了38.26%。

wKgaombqO1yARqfWAABIlH2zfVE613.jpg

wKgZombqO1yAOumoAACFh8vsjTU790.jpg

USER_CLOCK_VTREE_TYPE

如果目标芯片是Versal SSI芯片,如VP1502或VP1902,该属性可用于针对设计中的指定时钟设置时钟Vtree类型,其可选值有3个,分别为InterSLR、intraSLR和balanced。默认情况下为InterSLR。此外,place_design还专门新增了一个选项-clock_vtree_type,如下图所示。该选项也有3个可选值,与USER_CLOCK_VTREE_TYPE可选值一致。不同之处在于该选项是全局选项,而USER_CLOCK_VTREE_TYPE是针对指定时钟。但两者的目的的相同的,都可改善时钟偏移(Clock Skew)。

wKgaombqO1yAFtlsAABJEVYLvfQ668.jpg

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • design
    +关注

    关注

    0

    文章

    154

    浏览量

    45641
  • 编译
    +关注

    关注

    0

    文章

    646

    浏览量

    32664
  • Vivado
    +关注

    关注

    19

    文章

    797

    浏览量

    65850

原文标题:Vivado 2024.1有哪些新特性?(1)

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado 2023.2版本的新增功能

    Vivado在前一段时间更新了2023.2版本,经过一段时间的使用这个版本还是很丝滑的,用起来挺舒服。
    的头像 发表于 01-02 09:39 2249次阅读
    <b class='flag-5'>Vivado</b> 2023.2<b class='flag-5'>版本</b>的新增功能

    请问我可以免费更新Vivado版本到2016.1吗?

    Vivado 2015.4版本冻结了。它不会在后来的Vivado软件中运行”写的?如果您不确定如线程中所述,请访问https://forums.xilinx.com/t5
    发表于 10-11 09:21

    怎么将vivado12.3更新到最新的15.4版本

    你好我有完整版的vivado 2012.3版本,我使用的是DVDalong和ZC 706 Board。我想将软件更新到最新版本。请让我知道这是可能的,免费的。
    发表于 04-14 06:48

    Vivado Design Suite HLx 版本 2016.4 现已发布

      Vivado 2106.4  版本说明 中了解所有上述内容以及其它内容。 下载最新版 Vivado HLx Edition 之后 ,您就可以进入下一步立即加速生产力, 其中包括: 下载
    发表于 11-10 14:49 994次阅读

    Vivado Design Suite 2014.4.1 现已推出!

    更新仅用来满足 UltraScale 器件用户的需求。 敬请查看版本说明,了解所有最新版本信息。 最大化地利用  Vivado Design Suite ! 立刻使用  Vivado
    发表于 02-09 09:06 331次阅读

    Vivado时钟的两大特性

    Vivado时钟的两大特性--时钟延迟和时钟的不确定性。
    发表于 11-17 11:38 5589次阅读
    <b class='flag-5'>Vivado</b>时钟的两大<b class='flag-5'>特性</b>

    赛灵思Vivado设计套件推出2013.1版本,提供IP 集成器和高层次综合功能

    关键词:Vivado , 设计套件 赛灵思公司(Xilinx)今天宣布, 其业界首款可编程SoC级增强型Vivado设计套件的最新版本在生产力方面进行了两大改进。Vivado设计套件2
    发表于 09-25 09:18 343次阅读

    使用Vivado 2017调用Modelsim的详细步骤

    本次使用的Vivado版本Vivado_2017.3版本,从Xilinx官方文档可以了解到,该版本V
    发表于 03-30 09:51 1.7w次阅读
    使用<b class='flag-5'>Vivado</b> 2017调用Modelsim的详细步骤

    四个有趣的关于Python 3.9版本特性

    四个有趣的关于Python 3.9版本特性
    的头像 发表于 10-08 14:47 2975次阅读
    四个有趣的关于Python 3.9<b class='flag-5'>版本</b>新<b class='flag-5'>特性</b>

    Vivado 2022.1的新特性

    Vivado 2022.1已正式发布,今天我们就来看看其中的一个新特性
    的头像 发表于 07-03 17:00 2286次阅读

    如何使用Vivado 2022.1版本工具链实现ZCU102 USB启动(上)

    本文依据 Vivado 2022.1 版本工具链的特性,对 UG1209( 最新版本为 2020.1 )中介绍的 USB BOOT 启动步骤做了修改,此外,介绍了 WINDOWS 1
    的头像 发表于 10-28 09:50 2017次阅读

    Vivado Design Suite用户指南:版本说明、安装和许可

    电子发烧友网站提供《Vivado Design Suite用户指南:版本说明、安装和许可.pdf》资料免费下载
    发表于 09-13 09:16 0次下载
    <b class='flag-5'>Vivado</b> Design Suite用户指南:<b class='flag-5'>版本</b>说明、安装和许可

    Vivado2018版本中Modelsim的配置

    Vivado自带的仿真工具在一些基本功能的仿真测试时是可以满足的,但如果你的工程较为庞大,那么自带的仿真工具将有些勉强,除了在数据输出方面的卡顿,在仿真速度上也可能无法接受,这里可以借助第三方仿真工具进行工程仿真测试,Vivado2018各
    的头像 发表于 11-08 14:47 1490次阅读
    <b class='flag-5'>Vivado</b>2018<b class='flag-5'>版本</b>中Modelsim的配置

    AMD Vivado Design Suite 2024.1全新推出

    AMD Vivado Design Suite 2024.1 可立即下载。最新版本支持全新 AMD MicroBlaze V 软核处理器,并针对 QoR 和 Dynamic Function
    的头像 发表于 09-18 09:41 199次阅读

    Vivado 2024.1版本的新特性(2)

    从综合角度看,Vivado 2024.1对SystemVerilog和VHDL-2019的一些特性开始支持。先看SystemVerilog。
    的头像 发表于 09-18 10:34 88次阅读
    <b class='flag-5'>Vivado</b> <b class='flag-5'>2024.1</b><b class='flag-5'>版本</b>的新<b class='flag-5'>特性</b>(2)