0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 2024.1版本的新特性(2)

FPGA技术驿站 来源:FPGA技术驿站 2024-09-18 10:34 次阅读

从综合角度看,Vivado 2024.1对SystemVerilog和VHDL-2019的一些特性开始支持。先看SystemVerilog。

支持SystemVerilog AOI (Array of Instances)

AOI指的是在实例化某个module时,可以将其声明为数组,这样就等效于同时声明了多个module,如下图所示。代码第8行对模块simple进行实例化,实例化名为i_simple[M-1:0],这里M等于4。实际上就等于实例化了4个simple,每个的实例化名分别为i_simple[0]~i_simple[3]。这样可以不用for generate语句。打开Elaborated Design视图可以看到其中的连接关系。

wKgZombqPEuAehXYAABdK15YPc0896.jpg

wKgZombqPEuAdVelAABqseRL5es623.jpg

wKgaombqPEuAKk3ZAAEUTgERbxs469.jpg

支持VHDL-2019引用端口属性的功能

VHDL-2019支持在端口列表中引用端口属性。如下图所示代码片段,代码第10行声明端口sum时,对sum位宽的定义就引用了输入端口ain的属性left和right。其中ain’left返回值为3,ain’right返回值为0。同时还可以注意到第10行的末尾增添了分号。这也是VHDL-2019的新特性。之前的VHDL版本,由于端口列表中的最后一个端口声明不能加分号,导致端口列表声明不一致,VHDL-2019则解决了这一问题。但这个分号不是强制的,是可选的,即没有分号也同样是正确的。

wKgaombqPEuAWuR0AAEhceN66Tg266.jpg

支持VHDL-2019的多位宽端口部分互连功能

使用VHDL当对某个模块进行实例化时,若该模块某个输出端口未使用,需要声明为open状态。如果该端口是个多位宽的信号,某些位的数据需要连接到其他模块,某些位的数据不需要,那么VHDL-2019可以支持部分位的数据标记为open状态。如下图所示代码,代码第22行和第23行分别标记了sum(0)和sum(1)的连接关系,第24行则将sum(4 downto 2)标记为open状态。从代码风格角度看,该代码片段还体现了如何更好的实例化指定模块,如代码第17行所示,采用entity work的方式,这样的好处是不用在architecture中声明component,从而使代码更简洁。

wKgaombqPEuAXiFSAABXMyNss7k168.jpg

新增支持DFX的命令report_dfx_summary

新增命令report_dfx_summary,针对基于Versal器件的DFX设计。该命令会生成5个部分的内容,如下图所示。这对于DFX的分析会很有帮助。从该报告中可以看每个动态区的大小以及资源利用率、静态区的可用资源及资源利用率、时钟资源利用率以及PPLOC。除此之外,对于每个RP,还会显示RP的Pblock名称、共享的Tile等更具体的信息

wKgZombqPEuARf9GAACbkUy91QQ387.jpg

wKgZombqPEuAV9mXAACtne_lzp0907.jpg

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 代码
    +关注

    关注

    30

    文章

    4668

    浏览量

    67756
  • design
    +关注

    关注

    0

    文章

    154

    浏览量

    45641
  • Vivado
    +关注

    关注

    19

    文章

    797

    浏览量

    65850

原文标题:Vivado 2024.1有哪些新特性?(2)

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado 2023.2版本的新增功能

    Vivado在前一段时间更新了2023.2版本,经过一段时间的使用这个版本还是很丝滑的,用起来挺舒服。
    的头像 发表于 01-02 09:39 2247次阅读
    <b class='flag-5'>Vivado</b> 2023.2<b class='flag-5'>版本</b>的新增功能

    请问我可以免费更新Vivado版本到2016.1吗?

    Vivado 2015.4版本冻结了。它不会在后来的Vivado软件中运行”写的?如果您不确定如线程中所述,请访问https://forums.xilinx.com/t5
    发表于 10-11 09:21

    怎么将vivado12.3更新到最新的15.4版本

    你好我有完整版的vivado 2012.3版本,我使用的是DVDalong和ZC 706 Board。我想将软件更新到最新版本。请让我知道这是可能的,免费的。
    发表于 04-14 06:48

    Vivado Design Suite HLx 版本 2016.4 现已发布

    最新版 Vivado HLx Edition 现已推出, 其中包括: 支持 Zynq® UltraScale+™ MPSoC ZCU102-ES2 和 Virtex® UltraScale+
    发表于 11-10 14:49 994次阅读

    Vivado Design Suite 2014.4.1 现已推出!

    更新仅用来满足 UltraScale 器件用户的需求。 敬请查看版本说明,了解所有最新版本信息。 最大化地利用  Vivado Design Suite ! 立刻使用  Vivado
    发表于 02-09 09:06 331次阅读

    Vivado时钟的两大特性

    Vivado时钟的两大特性--时钟延迟和时钟的不确定性。
    发表于 11-17 11:38 5589次阅读
    <b class='flag-5'>Vivado</b>时钟的两大<b class='flag-5'>特性</b>

    赛灵思Vivado设计套件推出2013.1版本,提供IP 集成器和高层次综合功能

    关键词:Vivado , 设计套件 赛灵思公司(Xilinx)今天宣布, 其业界首款可编程SoC级增强型Vivado设计套件的最新版本在生产力方面进行了两大改进。Vivado设计套件2
    发表于 09-25 09:18 343次阅读

    使用Vivado 2017调用Modelsim的详细步骤

    本次使用的Vivado版本Vivado_2017.3版本,从Xilinx官方文档可以了解到,该版本V
    发表于 03-30 09:51 1.7w次阅读
    使用<b class='flag-5'>Vivado</b> 2017调用Modelsim的详细步骤

    四个有趣的关于Python 3.9版本特性

    四个有趣的关于Python 3.9版本特性
    的头像 发表于 10-08 14:47 2975次阅读
    四个有趣的关于Python 3.9<b class='flag-5'>版本</b>新<b class='flag-5'>特性</b>

    Vivado 2022.1的新特性

    Vivado 2022.1已正式发布,今天我们就来看看其中的一个新特性
    的头像 发表于 07-03 17:00 2285次阅读

    如何使用Vivado 2022.1版本工具链实现ZCU102 USB启动(上)

    本文依据 Vivado 2022.1 版本工具链的特性,对 UG1209( 最新版本为 2020.1 )中介绍的 USB BOOT 启动步骤做了修改,此外,介绍了 WINDOWS 1
    的头像 发表于 10-28 09:50 2017次阅读

    Vivado Design Suite用户指南:版本说明、安装和许可

    电子发烧友网站提供《Vivado Design Suite用户指南:版本说明、安装和许可.pdf》资料免费下载
    发表于 09-13 09:16 0次下载
    <b class='flag-5'>Vivado</b> Design Suite用户指南:<b class='flag-5'>版本</b>说明、安装和许可

    Vivado2018版本中Modelsim的配置

    Vivado自带的仿真工具在一些基本功能的仿真测试时是可以满足的,但如果你的工程较为庞大,那么自带的仿真工具将有些勉强,除了在数据输出方面的卡顿,在仿真速度上也可能无法接受,这里可以借助第三方仿真工具进行工程仿真测试,Vivado2018各
    的头像 发表于 11-08 14:47 1490次阅读
    <b class='flag-5'>Vivado</b>2018<b class='flag-5'>版本</b>中Modelsim的配置

    AMD Vivado Design Suite 2024.1全新推出

    AMD Vivado Design Suite 2024.1 可立即下载。最新版本支持全新 AMD MicroBlaze V 软核处理器,并针对 QoR 和 Dynamic Function
    的头像 发表于 09-18 09:41 199次阅读

    Vivado 2024.1版本的新特性(1)

    Vivado 2024.1已正式发布,今天我们就来看看新版本带来了哪些新特性
    的头像 发表于 09-18 10:30 99次阅读
    <b class='flag-5'>Vivado</b> <b class='flag-5'>2024.1</b><b class='flag-5'>版本</b>的新<b class='flag-5'>特性</b>(1)