0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA软件Efinity入门使用-v7

XL FPGA技术交流 来源:XL FPGA技术交流 作者:XL FPGA技术交流 2024-10-21 18:55 次阅读
一、 软件预设置二、新建工程三、添加源文件四、添加管脚约束五、添加GPIO六、PLL设置 七、IPM添加IP八、添加debug九、下载十、仿真 十一、查看软件版本 一、软件预设置。5845f686-8c1c-11ef-a79e-92fbcf53809c.png586b9ad0-8c1c-11ef-a79e-92fbcf53809c.png  
选项 说明
Usereditor 一般软件自带的编辑器功能有限,而外部编辑器功能要强大很多。所以建议大家使用外部编辑器。在User editor中输入编辑器的路径。
Use user editor as default editor for all files。 如果希望每次点击文件时是通过外部编辑器打开的,可以勾选Use user editor as default editor for all files。反之,如果希望使用自带编辑器打开则不用勾选。
Top level project path 指定新建工程目录。
Enable flow data integrity check
Open last project on startup 如果勾选此选择,会打开上次关闭的工程
openfile usingdefault system application
Use lastwindow layoutsetting 使用上次软件关闭时的窗口布局。
Auto-correct Tcl command 在tcl命令窗口中输入命令时可以自动修改存在的错误。
Auto-loadPlace andRoute data 打开软件是自动加载布局布线的数据。建议关闭。
Migrate interfacedesign withdevicechange 修改器件时,interface desiger中的配置也要修改。
EnableIP upgrades prompt on project load 用新版本打开老的软件建的工程时,打开该选项会提示IP需要更新,如果不想更新IP可以关闭这个选项。

点击preference,把Auto-load Place and route data前面的对勾去掉。其目的是为了防止软件打开工程时加载时间太长。如果需要加载数据可以点击Load Place and Route Data

588b64b4-8c1c-11ef-a79e-92fbcf53809c.png

二、新建工程

Step1:点击设置

Step2:在Top level project path中输入路径

Step3:点击File -> Open Project,路径会指向step2中设置的路径

注意:易灵思的工程名为.xml,而不是.peri.xml,.peri.xml用于存放interface designer中的参数设置。

58acf980-8c1c-11ef-a79e-92fbcf53809c.png

Stp1:File -->Create ProjectStp2:在Project Editor中选择路径并输入工程名Stp3:选择器件(家族)及速率等级 58d708ce-8c1c-11ef-a79e-92fbcf53809c.png输入top module/Entity注意:如果没有输入top module名,软件会自己选择top module,编辑结果不正确。58ed7c12-8c1c-11ef-a79e-92fbcf53809c.png

把retiming和seq_opt设置为0

5915d680-8c1c-11ef-a79e-92fbcf53809c.png

点击ok,新建工程完成。

三、添加源文件

方法1:选择Design右击,点击Create方法2:自己建立文件,添加文件到工程:Stp1:选择Design右击,点击Add59356590-8c1c-11ef-a79e-92fbcf53809c.png方法1:选择Design右击,点击Add方法2:Project Editoràadd file Efinity还可以添加整个文件夹的文件,如图选copy to project

5958dbc4-8c1c-11ef-a79e-92fbcf53809c.png

四、管脚约束

这里我们以一个LED点灯为例,代码如下:

5981f770-8c1c-11ef-a79e-92fbcf53809c.png

Efinty是通过interface designer工具来设置IO等和外设相关的接口电气属性的。Interface designer操作界面的打开通过下面的Open Interface Desinger来实现。

59a55cc4-8c1c-11ef-a79e-92fbcf53809c.png

Core 与interface的关系

Eifinty采用的把逻辑资源和硬核资源分开的架构,代码部分只针对逻辑资源,也就是我们这里提到的Core,而IO及其他硬核部分的配置在interface Designer工具中。下图是interface与Core的关系,它们之间通过被称作Siganl Interface的连线资源实现互联。

因为习惯问题,使用者在最初一定会存在一些问题,但是习惯之后它也是有不少优势存在的。比如说,在前期的硬件设计中,只需要在Interface Designer中添加已添加的IO及其他需要的硬件接口,并通过一键检测就可以很清楚的知道与外设的连接是否合理,不需要考虑内部因为没有完整的程序而可能被优化的风险。另外有些interface的选项在修改之后可以不需要先编译而直接生成数据流。

59c09ad4-8c1c-11ef-a79e-92fbcf53809c.png

interface与core的关系

59d9f7d6-8c1c-11ef-a79e-92fbcf53809c.png

interface界面

Bank电压的设置

告诉软件FPGA目前的bank电压,硬件电路的bank电压要和interface中的一致,如果电压设置不一致可能存在长时间运行致使芯片失效。设置位置在Device Setting -->I/O Banks

5a0cb216-8c1c-11ef-a79e-92fbcf53809c.png

五 添加GPIO

Step1:右键选择GPIO

Step2:根据选择的是单线还是多线来选择Create Block还是Create Bus

Step3:编辑IO属性,IO属性如下:

选项

选择

说明

Mode

Input,
output,
inout,
clkout

Input:把FPGA管脚设置为输入;

Output:把FPGA管脚设置为输出;

Inout:把FPGA管脚设置为双向管脚;

Clkout:把FPGA管脚设置为时钟输出

Connection Type

Normal,gclk,pll_clkin,VREF

gclk走全局时钟网线,可以驱动PLL也可以直接驱动内部逻辑

用于普通的GPIO;

PLL_CLKIN表示这个IO是用于驱动PLL的;

用于存储器的参考管脚

Register Option

None,register

是否添加IO寄存器推荐添加。

I/O Standard

3.3v,1.8v,1.2V,1.5v

设置IO的电平

Double Data I/O Option

None,normal,resync

是否设置IO为双延采样

Clock

当打开IO寄存器时需要添加指定寄存器的时钟

Drive Strength

1,2,3,4

设置输出IO的驱动能力

Enable Slew Rate

Yes,no

是否命名能slew rate

5a268240-8c1c-11ef-a79e-92fbcf53809c.png右键添加GPIO 针对上面的工程我们的参数设置如下:(1)Mode 设置为input(2) I/O Standard根据所在的Bank来选择电压Instacne Name: clkConnection Type : pll_clkin5a51665e-8c1c-11ef-a79e-92fbcf53809c.png以arst_n为例 :Mode : inputI/O standard :根据所在bank及bank电压设置Connection Type: normalRegister Option: none5a792f54-8c1c-11ef-a79e-92fbcf53809c.png 以4位输出的led为例:(1)Name :o_led(3)位宽从3到0Mode: output5a9573ee-8c1c-11ef-a79e-92fbcf53809c.png对于总线信号想要再次编辑信号属性时,需要点击右侧的Editbus property,

5ab4917a-8c1c-11ef-a79e-92fbcf53809c.png

如果单独编辑某个信号属性是不能编辑的。如下图所示。

5ad92c6a-8c1c-11ef-a79e-92fbcf53809c.png

IO分配5af84c62-8c1c-11ef-a79e-92fbcf53809c.png点击Show/Hide GPIO Resource Assigner,在Package Pin或者Resoure位置输入管脚。

5b19d706-8c1c-11ef-a79e-92fbcf53809c.png

检测Interface设计是否存在问题。

5b3b8194-8c1c-11ef-a79e-92fbcf53809c.png

保存设置,点击Check Design,检查interface是否存在问题。

再点Generate Efinity Constraint Files,我们就可以在Result --> interface下面看到生成一些文件。通过xxx_template.v复制例化接口

5b615626-8c1c-11ef-a79e-92fbcf53809c.png

六、PLL设置PLL是FPGA内部常用的配置项。Ti60F225有4个PLL,如下图所示,分别为PLL_BL,PLL_TL,PLL_TR和PLL_BR。
Instance Name 用户定义
PLLResourec
Output ClockInversion on,off 翻转时钟输出
ConnectionType

gclk,

rclk

时钟类型
Clock Source

External,

Dynamic,Core

External指时钟通过IO驱动;Dynamic:支持多路时钟选择;Core:时钟通参考通过core供给

Automated clock

Calculation

打开时钟计算和设置窗口

5b839ed4-8c1c-11ef-a79e-92fbcf53809c.png

针对上面的工程,我们的参数设置如下:右击PLL ->add BlockInstance Name:根据需要输入PLL Resource:PL_TR0Clock Source: external,core,dynamicExternal Clock :External Clock

5bb559d8-8c1c-11ef-a79e-92fbcf53809c.png

七、通过IPM添加IP 点击Open IP Catlog,

5bd11da8-8c1c-11ef-a79e-92fbcf53809c.png

里面有一些常用的IP,但是要注意的是这些都是软件核的IP,所有硬核的IP都是通过 InterfaceDesigner来添加的。

5bed9ac8-8c1c-11ef-a79e-92fbcf53809c.png

八 添加约束

添加约束的目的是为了告诉FPGA你的设计指标及运行情况。在上面的生成约束之后,在Result àxx.sdc中提供约束参考(请注意该文件不能直接添加到工程中,需要热复制到别的指定目录),对于gclk时钟需要手动添加约束的时钟周期,对于PLL生成的时钟已经约束完整。

编译完成之后可以查看时序报告,也可以通过routing àxx.timing.rpt来查看路径详细延时信息如果想查看更可以通过指令来打印或者通过print_critical_path来控制打印的路径数量 。

5c194c5e-8c1c-11ef-a79e-92fbcf53809c.png

5c3322c8-8c1c-11ef-a79e-92fbcf53809c.png

编译

点击dashboard中Toggle Automated Flow来设置是单步还是全程编译(暗色是单步),下面是综合,布局,布线,生成数据流,stop的相应按键。软件左下角会的编译进行提示编译进程。

5c57cc90-8c1c-11ef-a79e-92fbcf53809c.png

八添加debug

8.1通过向导添加debug

点击Open Debugger Wizard

(1)设置Buffer Depth

(2)Input Pipeline Stage

(3)Capture control

(4)JTAG USER TAP

(5)修改时钟域

(6)Probe Type

5c76fd18-8c1c-11ef-a79e-92fbcf53809c.png

5c91fdf2-8c1c-11ef-a79e-92fbcf53809c.png

8.2手动debug

手动debug的方式就是自己一个个添加debug的信号 ,当然这种方式也可以添加 VIO,VIO可以通过JTAG产生一些控制信号。手动添加debug的方式如下。

step1:点击OpenDebugger打开Efinity Debugger页面,在Perpectives下面选择Profile Editor.

5cb19324-8c1c-11ef-a79e-92fbcf53809c.png

Step2:根据需要选择添加VIO或者LA.

5cd46c6e-8c1c-11ef-a79e-92fbcf53809c.png

Step3: 以添加LA为例,点击右侧的add_probe来添加需要的信号,然后在Name中修改信号名字,Width中指定信号位宽及Probe Trigger or Data中设置信号的触发属性。如果要删除信号就点击右侧的带“X"的remove Probe.

另外可以指定Data Depth,也就是数据采样深度,Input Pipeline Stage对可以数据打拍,用于优化时序。

5cf2cb8c-8c1c-11ef-a79e-92fbcf53809c.png

step4 添加VIO。根据需要选择vio界面的add source和add probe 来添加自己需要的信号。如果要删除信号则选择remove source/probe.

5d211dca-8c1c-11ef-a79e-92fbcf53809c.png

step5:点击Generate,会在工程目录下就会生成一个debug_top.v,把该文件添加到工程并例化。效果如下。

5d4f1cb6-8c1c-11ef-a79e-92fbcf53809c.png

step6.添加JTAG。打开interface Designer,右击选择JTAG User Tap,添加JTAG Block,并指定JTAG resource,如下图中选择JTAG user1.然后生成约束例化信号。

5d6bb678-8c1c-11ef-a79e-92fbcf53809c.png

5d9a51e0-8c1c-11ef-a79e-92fbcf53809c.png

注意通过向导添加Debugger和通过手动添加debuger这两种方式不能共存。另外要注意在interface Designer中添加了User Tap之后,在添加向导时要选择不同的User Tap号,否则会提示接口有占用。如下图指示“ERROR: jtage resource = JTAG USER1 has been occupied"。

5dbd6536-8c1c-11ef-a79e-92fbcf53809c.png

8.3 在线调试

该步骤需要在配置FPGA之后再能操作。

触发条件的设置

捕获设置:

触发位置

分段数量

窗口尝试

5dda8530-8c1c-11ef-a79e-92fbcf53809c.png

8.4 关闭debug如果调试完成,想要关闭debug可以通过Project Editor --> Debugger下面的Debugger Auto Instantiation选项,去掉勾选并点击OK.

5e07c7de-8c1c-11ef-a79e-92fbcf53809c.png

如果关闭成功会”Debugger was disabled. Please rerun the flow start from placement"的指示框。如果没有出现则没关关闭成功,需要重启Efinity软件再次关闭一次。

5e239ce8-8c1c-11ef-a79e-92fbcf53809c.png

8.5 Gtkwave界面 有些时间我们会发现Gtkwave界面的信号不全,比如下图,mode信号没有添加到右侧的波形窗口,这时选择SST窗口下的top就会把所有信号列出来,选择相应的信号,点击insert就可以插入。

5e3fcab2-8c1c-11ef-a79e-92fbcf53809c.png

另外在2024的版本中,打开一次波界面之后可以不用关闭直接点击Run等按键即可以刷新波形。

5e5ceb42-8c1c-11ef-a79e-92fbcf53809c.png

九 配置FPGA配置方式.易灵思的FPGA支持以下几种配置方式。
主动模式(SPI Active)— AS模式 通过SPI专用插座在线烧写FLASH,FLASH离线烧写好了再焊接,FPGA自己主动通过从非易失性的SPI FLASH读取bit流进行加载,支持X1 X2 X4,x8(不同的FPGA支持的位宽有区别)
被动模式(SPI Passive)— PS模式 上位机或者MCU通过SPI接口向FPGA发送bit流文件,对FPGA进行加载•支持X1 X2 X8 X16 X32
JTAG模式 上位机通过JTAG口将bit流文件发送到FPGA,对FPGA进行加载
SPI Active using JTAG bridge — Bridge模式 通过FPGA的JTAG口烧写和FPGA连接的SPI FLASH
另外需要注意JTAG配置使用bit文件,Flash配置使用hex文件,配置过程中需要注意读取正常的ID,烧写flash可以勾去VerIfy After Programming节省时间5e7306b6-8c1c-11ef-a79e-92fbcf53809c.png5e89533a-8c1c-11ef-a79e-92fbcf53809c.png

十 仿真

易灵思为所有IP提供了仿真模型

以FIFO为例,在工具栏中选择IP Catalog

Open IP Callog ->Memory ->FIFO->以默认参数生成IP找到IP生成路径下的Testbench文件夹。把modelsim路径转向该文件夹(注意路径方向“/”)运行do sim.do5eb5fae8-8c1c-11ef-a79e-92fbcf53809c.png

另外 关于interfce Designer接口的仿真模型在路径C:Efinity2023.1ptsim_modelsVerilog下。

5ed5ea42-8c1c-11ef-a79e-92fbcf53809c.png

十一、查看软件版本

5f0449a0-8c1c-11ef-a79e-92fbcf53809c.png

在Help ->About。打开软件详细版本,由于软件存在很多补丁,所说说明版本时,要给出完整的版本号。

当软件版本号不对应时可能不打开软件,因为低版本不能兼容高版本。

5f284ae4-8c1c-11ef-a79e-92fbcf53809c.png

另外peri.xml里面也是有版本号的,当软件打开不开的时候可以对比安装的软件版本号与工程开发用的版本号是否存在不兼容。

5f445d60-8c1c-11ef-a79e-92fbcf53809c.png

广告时间

5f5cf654-8c1c-11ef-a79e-92fbcf53809c.png


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1624

    文章

    21539

    浏览量

    600493
收藏 人收藏

    评论

    相关推荐

    S2C发布最新ASIC原型验证平台Quad V7

    S2C Inc.今日宣布将最新的原型验证平台Quad V7加入其V7 TAI Logic Module系列。Quad V7 是基于Xilinx Virtex-7 2000T可编程3D
    发表于 01-23 11:28 2231次阅读

    国产FPGA应用专题--易灵思Efinity软件使用心得

    做为FPGA的集成开发环境,不同的厂家其实大同小异。很多国产厂家,如安路,高云,会在软件上贴近Xilinx和Intel,以节省客户的软件使用成本。而国产厂商的易灵思的集成开发环境Efinity
    的头像 发表于 04-23 15:38 1826次阅读
    国产<b class='flag-5'>FPGA</b>应用专题--易灵思<b class='flag-5'>Efinity</b><b class='flag-5'>软件</b>使用心得

    XILINX V7系列FPGA的的BPI FLASH程序下载问题咨询

    各路大神你们好!我想向各位咨询下:当采用BPI模式配置Xilinx V7系列FPGA时,程序是如何下载到BPI FLASH中去的?我看了V7的配置技术手册,发现里面只介绍了一种间接编程方式:由
    发表于 08-26 15:34

    有没有人用jlink v7,有没有参考资料,淘宝上已经没卖jlink v7的了

    有没有人用jlink v7,有没有参考资料,淘宝上已经没卖jlink v7
    发表于 05-27 14:53

    卡西欧ex v7用户使用说明书

    卡西欧ex v7用户使用说明书
    发表于 01-14 00:01 33次下载
    卡西欧ex <b class='flag-5'>v7</b>用户使用说明书

    ICC AVR V7C编译软件

    iccavr v7是一款很不错的AVR单片机C编译软件,很适合初学者学习和使用。
    发表于 07-15 15:32 139次下载

    V919 AIR V7版本刷机教程_For_Android

    V919 AIR V7版本刷机教程_For_Android是一个不可多得的东东。希望这文件对朋友有作用,谢谢了。
    发表于 11-13 11:48 0次下载

    QuadE V7快速原型验证产品系列

    加利福尼亚州,圣何塞 - 2014年2月25日 - 业界领先的SoC/ASIC快速原型解决方案提供商S2C Inc.于今日宣布,在其基于FPGA的原型平台V7 TAI Logic Module系列
    的头像 发表于 02-11 14:27 3508次阅读

    骁龙845助力美图V7惊艳亮相

    6月份美图T9在颐和园的惊艳亮相,俘获了众多明星、自拍达人的心。今天,美图手机的新产品——美图V7,会让你再次爱上美图手机!
    的头像 发表于 12-23 10:24 3211次阅读

    美图V7高清图赏

    1月8日下午,美图手机正式发布美图V7标准版,搭载骁龙845处理器,全球首创的前置三摄配置,支持“前置AI夜拍美颜”“3D凝时相机”“闭眼修复”等摄影功能。
    的头像 发表于 01-30 09:25 3572次阅读

    美图V7全新配色花园粉蝶正式上架搭载了骁龙845顶级移动芯片

    在此之前,美图V7仅有赤霞橙光、绿境之梦两款配色版本(8GB+128GB),售价4799元,少女们喜欢的粉色却迟迟没有推出。而红白相间配色的美图V7托尼洛兰博基尼限量版(8GB+256GB)售价高达6799元,此次美图V7花园粉
    发表于 02-12 16:48 1543次阅读

    美图V7手机体验评测 性能最强的美图手机

    美图V7怎么样?美图手机在2018年底曾推出了旗下V系列的最新产品“美图V7”,这也是美图独立研发的最后一款手机产品。那么,美图V7究竟怎么样?美图
    的头像 发表于 07-22 14:58 8725次阅读

    美图V7全面评测 一款没有任何妥协的产品

    美图V7评测:早在去年11月份,就和小米“联姻”。作为最后一款美图自研的产品,能带给我们哪些惊喜呢?美图V7在自拍美颜方面的优化怎么样?
    的头像 发表于 07-11 11:54 4175次阅读

    lvgl 库 V7版本相关应用

    在使用 lvgl 库的时候,笔者使用的 V7 版本的库,使用过程中发现网上关于 V7 版本的资料非常少,官网有文档介绍其如何使用,但有些方面...
    发表于 01-26 17:06 0次下载
    lvgl 库 <b class='flag-5'>V7</b>版本相关应用

    ANO匿名上位机V7协议&STM32

    ANO匿名上位机V7协议&STM32 说明:以下程序为自己编写,若有误欢迎各位指出。 基于ANO匿名V7上位机的通信协议编写的代码文章目录ANO匿名上位机V7协议&STM32 前言 一、Ano
    发表于 05-09 11:08 15次下载
    ANO匿名上位机<b class='flag-5'>V7</b>协议&STM32