0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

使用modelsim时的问题分析

FPGA技术江湖 来源:FPGA技术江湖 2024-10-24 18:15 次阅读

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来“FPGA学习系列 altera"系列,持续更新。此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus II 新版本已更新到20+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

仿真对于FPGA设计来说至关重要,我们经常使用modelsim来进行功能仿真或者时序仿真,这样就需要将modelsim和设计软件(quartus ii)联系起来,下面是设计者在使用modelsim时可能会遇到的问题。

1.路径的问题

在需要仿真时,设计往往是通过设计软件来打开modelsim,这样的话,就需要在设计软件中添加modelsim的路径,如果路径错误或者没有填写路径,那么将会出现如下错误界面:

7e92830a-9071-11ef-a511-92fbcf53809c.png

如果出现上述界面的话,大家首先应该考虑的是路径错误,修改路径方法如下:

1)点击Tools->options。

7ea9dc58-9071-11ef-a511-92fbcf53809c.png

2)选中左侧的EDA Tools options

7ec5325a-9071-11ef-a511-92fbcf53809c.png

3)点击更改路径。

7ee2356c-9071-11ef-a511-92fbcf53809c.png

在进行选择路径之前,先确定modelsim的安装路径,然后再去寻找。

4)确定路径即可。

7efc0fdc-9071-11ef-a511-92fbcf53809c.png

当设置完成后,有的软件还是不能打开设计软件,可以重复上述步骤,在路径的最后加上“”。如下:

7f2a2368-9071-11ef-a511-92fbcf53809c.png


7e81cf2e-9071-11ef-a511-92fbcf53809c.gif

2. 选择仿真软件错误。

完成路径设置后,仿真若还是出现路径的问题,则考虑自己选择仿真软件时,出现错误。

1)点击assignments->settings

7f485798-9071-11ef-a511-92fbcf53809c.png

2)点击simulation,选择正确的仿真工具。

7f5d4446-9071-11ef-a511-92fbcf53809c.jpg

3)点击OK。

3. 重复打开modelsim。

7fca296c-9071-11ef-a511-92fbcf53809c.png

modelsim在一个工程中只能打开一个,不允许多次打开,读者只需将之前所打开的modelsim关掉即可。

4. modelsim软件打开,但是没有出现波形窗口。

1)代码有错误。

7ff310a2-9071-11ef-a511-92fbcf53809c.png

找到如上窗口,按照错误提示,去修改代码,重新仿真即可。

2)添加激励文件错误。

查看添加的激励文件,确认是否有错误。若有错误,改正过来,重新仿真即可。

5. modelsim软件打开后,自动关闭。

若在激励文件没有写入系统命令“$finish”时,则考虑软件崩溃,可重新安装modelsim软件。

6.许可证的问题

当打开modelsim时,需要license文件,大家需要去破解并添加license文件。笔者所提供的modelsim软件是免费版的,并不需要破解。

上述问题只是比较常见的问题,若还是解决不了读者的问题,可以联系笔者,我们共同探讨解决问题。如果还是有不明白的读者可以发邮件到我邮箱或者加群询问。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1624

    文章

    21568

    浏览量

    600570
  • 仿真
    +关注

    关注

    50

    文章

    4003

    浏览量

    133237
  • 软件
    +关注

    关注

    69

    文章

    4621

    浏览量

    86998
  • ModelSim
    +关注

    关注

    5

    文章

    174

    浏览量

    47064

原文标题:FPGA学习altera 系列精选: modelsim的若干问题

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    ModelSim 电子系统分析及仿真

    ModelSim 电子系统分析及仿真ModelSim是优秀的HDL仿真软件之一,支持VHDL 和Verilog混合仿真的仿真器。这篇文章是以ModelSim6.1f软件为例的,包括基础
    发表于 09-11 15:10

    ModelSim使用教程

    ModelSim使用教程 ModelSim OEM入门教程
    发表于 04-14 11:35 0次下载

    基于工程应用的Modelsim评估分析

    前言:作为成功的第三方EDA软件,Modelsim一直是业内公认强有力的FPGA/ASIC验证工具。本人在使用Modelsim近一年的时间里,以自己的实际经历切实体会到这一点。在利用Modelsim作为FPGA验证
    发表于 06-07 11:17 27次下载

    ModelSim SE 入门

    本文以ModelSim SE 5.6版本为基础,介绍ModelSim SE的最基本用法,高深的我也不会 。当你安装完ModelSim SE之后,可以将你的ModelSim SE的起始路
    发表于 07-06 15:37 69次下载

    ModelSim和QuestaSim功能简介及应用

    ModelSim和QuestaSim功能简介及应用 ModelSim是工业界最优秀的语言仿真器,它提供最友好的调试环境
    发表于 04-29 09:07 2w次阅读
    <b class='flag-5'>ModelSim</b>和QuestaSim功能简介及应用

    使用 ModelSim 进行设计仿真详解

    本章为ModelSim的初级教程,读者读完本章可以较为熟练的使用ModelSim进行设计仿真,本章没有也不可能涉及ModelSim的各个方面,要想全面的掌握ModelSim可以参阅软件
    发表于 12-24 18:29 0次下载

    modelsim使用教程

    modelsim使用教程,感兴趣的小伙伴们可以瞧一瞧。
    发表于 11-05 14:48 0次下载

    modelsim使用教程

    modelsim使用教程,感兴趣的小伙伴们可以瞧一瞧。
    发表于 11-10 11:41 8次下载

    modelsim使用教程

    讲解MODELSIM使用
    发表于 01-24 17:30 36次下载

    modelsim保存波形操作方法解析

    在数字电路设计中(Verilog or VHDL),ModelSim是常用的仿真工具。当我们仿真大型的工程时,往往需要保留仿真波形,以便分析和以后查看。这就需要学习在ModelSim里面如何保存仿真波形及调用波形。
    发表于 11-24 11:13 1.7w次阅读

    使用Vivado 2017调用Modelsim的详细步骤

    本次使用的Vivado版本为Vivado_2017.3版本,从Xilinx官方文档可以了解到,该版本的Vivado只支持Modelsim10.6或者更高版本,但是笔者只有Modelsim
    发表于 03-30 09:51 1.7w次阅读
    使用Vivado 2017调用<b class='flag-5'>Modelsim</b>的详细步骤

    Modelsim仿真教程Modelsim的基础入门基础教程免费下载

    笔者一直以来都在纠结,自己是否要为仿真编辑相关的教程呢?一般而言,Modelsim等价仿真已经成为大众的常识,但是学习仿真是否学习Modelsim,笔者则是一直保持保留的态度。笔者认为,仿真
    发表于 04-30 18:24 23次下载
    <b class='flag-5'>Modelsim</b>仿真教程<b class='flag-5'>Modelsim</b>的基础入门基础教程免费下载

    基于ModelSim使用二联合Quarus自动仿真教程

    3 ModelSim工程实战之自动仿真说完了 ModelSim 的使用流程,接下来我们将会对每个流程进行详细的操作演示,一步步、手把手带领大家学习使用 ModelSim 软件。首先我们讲解
    的头像 发表于 07-23 10:51 1980次阅读
    基于<b class='flag-5'>ModelSim</b>使用二联合Quarus自动仿真教程

    基于ModelSim使用四ModelSim手动仿真教程

    4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation
    的头像 发表于 07-23 11:10 3936次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Mo
    的头像 发表于 07-24 09:04 3369次阅读
    Vivado调用<b class='flag-5'>Modelsim</b>仿真