0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado教程之Vivado的对比不同Design Runs功能

电子工程师 来源:未知 作者:易水寒 2018-11-25 11:05 次阅读

有时我们需要对比不同情形下的各类报告,以侦测这些“不同”对设计的影响。所谓的“不同情形”通常有以下几类:

-RTL代码不同

-约束不同

-综合策略不同

-实现策略不同

对比的报告可能包括:

-log文件

-资源利用率报告

-时序报告

-UFDM报告

-Control Set报告

-布线状态报告

-功耗报告

一旦涉及到“对比”就要用到一些工具,例如Beyondcompare,但这个工具不是开源的;或者vimdiff,这个是开源的,但是脱离了Vivado,需要首先打开vim,再通过vimdiff命令加载待比较的两个文件。这就要求工程师对vim有一定的了解。好在Vivado提供了这个功能。

在Vivado 2018.2版本中,可通过如下几个步骤实现“对比”。

1

选择需要比较的两个Runs,点击右键,选择Diff Selected Runs Report。这里只能选择两个,多了无法对比。Runs可以是综合,可以是实现,但两者必须是同一类型。换言之,如果一个Run是综合,另一个Run是实现,这种情形是不支持的。如图1所示。

图1

2

选择比较的内容。如图2所示,以Route Design为例,可比较的选项既包括log文件,也包括时序报告、资源利用率报告等。

图2

3

查看不同。一旦选择了比较内容,就会显示出两个比较文件之间的差异,以高亮部分显示,如图3所示。

图3

结论

Vivado提供了对比不同Design Runs生成的各种报告的功能,可方便用户查看其中的差异,明确这些差异对设计造成的影响。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • RTL
    RTL
    +关注

    关注

    1

    文章

    384

    浏览量

    59576
  • 代码
    +关注

    关注

    30

    文章

    4681

    浏览量

    68000
  • Vivado
    +关注

    关注

    19

    文章

    797

    浏览量

    66002

原文标题:Vivado下“找不同”

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    保存模块在Vivado中的位置

    清楚如何实现它。谢谢。以上来自于谷歌翻译以下为原文In a design we have, the Vivado implementation results vary between runs. We
    发表于 10-18 14:36

    如何访问Vivado Analyzer功能

    你好,我从Digilent购买了一块ZYBO板,并兑换了Vivado Design Suite附带的优惠券。今天我试图实例化一个VIO核心,我的许可证出错了。如何访问Vivado Analyzer
    发表于 12-14 11:35

    Vivado Design Suite 2014.4.1 现已推出!

    Vivado® Design Suite 2014.4.1 现已推出,针对 UltraScale™ 器件进行了更新,其中包括对 Kintex® UltraScale XCKU040 生产的支持。该
    发表于 02-09 09:06 336次阅读

    Vivado 2017.1和Vivado 2016.4性能对比分析

    此篇文章里,我们将通过使用InTime来检验Vivado 2017.1和Vivado2016.4之间的性能对比。 概要:分别进行了3个Vivado 2017.1对
    的头像 发表于 07-04 11:23 1w次阅读
    <b class='flag-5'>Vivado</b> 2017.1和<b class='flag-5'>Vivado</b> 2016.4性能<b class='flag-5'>对比</b>分析

    Vivado Design Suite 2015.3的新功能介绍

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-20 06:55 2532次阅读

    Vivado Design Suite设计套件的UltraFast设计方法的介绍

    UltraFast设计方法对您在Vivado Design Suite中的成功至关重要。 介绍UltraFast for Vivado并了解可用的材料,以帮助您在整个设计周期中应用UltraFast方法
    的头像 发表于 11-20 06:48 2430次阅读

    如何在Vivado Design Suite 中进行IP加密

    此视频概述了Vivado Design Suite中的IP加密。 它涵盖了IP加密工具流程,如何准备加密IP以及如何在Vivado中运行加密工具。
    的头像 发表于 11-20 06:34 6333次阅读

    Vivado Design Suite的部分重配置的新功能介绍

    本视频介绍了UltraScale +芯片的部分重配置功能,展示了Vivado Design Suite中部分重配置的新功能,并介绍了对部分重配置的更广泛的访问权限
    的头像 发表于 11-20 06:25 4085次阅读

    Vivado Design Suite 2016.1的新功能介绍

    了解Vivado Design Suite 2016中的新功能。 我们将回顾新的UltraFast方法检查,HDL模块参考流程和用于IPI设计的SmartConnect IP,语言模板增强,Xilinx参数化宏(XPM),GU
    的头像 发表于 11-20 06:22 2460次阅读

    Vivado Design Suite 2017.1的新功能介绍

    此视频重点介绍了新的Vivado Design Suite 2017.1版本的增强功能,包括操作系统和设备支持,新外观,部分重新配置广泛可用性等等......
    的头像 发表于 11-30 06:20 2739次阅读
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite 2017.1的新<b class='flag-5'>功能</b>介绍

    Vivado Design Suite教程:动态功能交换

    电子发烧友网站提供《Vivado Design Suite教程:动态功能交换.pdf》资料免费下载
    发表于 09-14 15:13 0次下载
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite教程:动态<b class='flag-5'>功能</b>交换

    Vivado Design Suite用户指南:综合

    电子发烧友网站提供《Vivado Design Suite用户指南:综合.pdf》资料免费下载
    发表于 09-13 15:47 0次下载
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite用户指南:综合

    Vivado Design Suite用户指南:使用约束

    电子发烧友网站提供《Vivado Design Suite用户指南:使用约束.pdf》资料免费下载
    发表于 09-13 15:48 2次下载
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite用户指南:使用约束

    Vivado Design Suite用户指南:使用Tcl脚本

    电子发烧友网站提供《Vivado Design Suite用户指南:使用Tcl脚本.pdf》资料免费下载
    发表于 09-13 15:26 0次下载
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite用户指南:使用Tcl脚本

    Vivado Design Suite用户指南:编程和调试

    电子发烧友网站提供《Vivado Design Suite用户指南:编程和调试.pdf》资料免费下载
    发表于 09-13 14:47 0次下载
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite用户指南:编程和调试