电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>HDL语言及源代码>VHDL语言的组合电路设计

VHDL语言的组合电路设计

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

VHDL语言在EDA仿真中的应用

EDA技术彻底改变了数字系统的设计方法和实现手段,借助于硬件描述语言的国际标准VHDL 和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大
2011-04-11 11:34:471842

VHDL语言基础

VHDL语言基础
2012-08-15 17:36:58

VHDL语言的程序结构与数据类型

VHDL语言的程序结构与数据类型第2节 VHDL语言的程序结构与数据类型[学习要求] 掌握VHDL硬件描述语言的基本语法和源文件的结构,学会用VHDL硬件描述语言设计典型数字逻辑电路。[重点与难点
2009-03-19 14:52:00

VHDL与其他传统集成电路描述语言相比具有什么优势?

VHDL与其他传统集成电路描述语言相比具有什么优势?VHDL语言为核心的EDA技术在医学中的应用
2021-05-07 06:38:41

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新
2020-05-11 09:22:18

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新.扫描版

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新.扫描版
2020-05-03 09:46:42

vhdl语言教程精华

VHDL语言教程精华
2013-11-17 13:12:02

vhdl是什么

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言VHDL翻译成中文就是
2015-09-30 13:48:29

电路设计VHDL设计基础知识

电路设计VHDL设计基础知识,几个文档集合在一起的。
2016-10-08 10:32:17

Perl语言电路设计中的应用

Perl语言电路设计中的应用实用提取和报表语言是由Perl语言电路设计中的应用 开发并不断更新,用于Perl语言电路设计中的应用 环境下编程的一种模块化的、可扩展的高级语言。在集成电路后端
2012-01-11 15:19:01

[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版

[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版
2020-05-21 09:25:46

[求助]编写全自动洗衣机控制电路VHDL语言程序

马上本科毕业,专业方向是信息化工程管理,但是论文题目抽到的是 编写全自动洗衣机控制电路VHDL语言程序,没有学习过,在图书馆借书看了后感觉很复杂,由于要实习很难有时间研究.希望论坛有 高人 能给
2010-03-01 12:45:08

分享一款不错的基于VHDL的异步串行通信电路设计

求一款基于VHDL的异步串行通信电路设计分享
2021-04-08 06:16:42

基于VHDL逻辑电路设计与应用

电子设计的主流。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,应用越来越广泛。VHDL语言具有多层次描述系统硬件功能的能力,可以从系统的数学模型直到门级电路,其高层次的行为
2018-11-20 10:39:39

基于CPLD和FPGA的VHDL语言电路优化设计

语言进行CPLD/FPGA设计开发,Altera和Lattice已经在开发软件方面提供了基于本公司芯片的强大开发工具。但由于VHDL设计是行为级设计,所带来的问题是设计者的设计思想与电路结构相脱节,而且
2019-06-18 07:45:03

如何采用CPLD/FPGA优化VHDL语言电路设计

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的。
2019-10-18 08:20:51

怎么利用CPLD/FPGA的VHDL语言优化电路

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件
2019-08-28 08:05:46

怎么设计优化VHDL语言电路

什么是VHDLVHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着
2019-08-08 07:08:00

模拟IC设计与使用VHDL语言设计IC的区别

感觉模拟IC设计就应该是设计模拟电路.设计运放等,通过设计电路、在硅片上搭建TTL.CMOS......从而做成IC芯片;而我经常看到说IC设计就是使用VHDL语言设计IC,写好VHDL语言后烧录到FPGA.CPLD.......从而做成芯片。我想问的是这两者有什么区别?
2018-08-29 09:45:43

VHDL数字电路设计(巴西)的课后答案,求大侠赐教

跪求《VHDL数字电路设计》(巴西)的课后习题答案,有的请发邮箱501305928@qq.com万分感谢。。。。。。。。。。。。。。。
2014-07-19 15:34:33

硬件描述语言VHDL课件

硬件描述语言VHDL课件   硬件描述语言VHDL 数字系统设计分为硬件设计和软件设计, 但是随着计算机技术、超大规模集成电路(CPLD
2008-09-11 15:47:23

请问VHDL语言和verilog语言有什么区别?

VHDL语言和verilog语言有何区别
2019-03-28 06:52:52

请问谁会基于VHDL语言的信号处理电路的设计

VHDL语言编出一个电压信号源,再编出一个积分电路,放大电路,滤波电路,移相电路对这个信号源进行处理
2015-05-26 21:29:51

谁有清华大学vhdl电路设计的代码

{:12:}谁有清华大学vhdl电路设计的代码PCB打样找华强 http://www.hqpcb.com/3 样板2天出货
2012-10-09 23:10:36

转:件演奏电路设计的实现(有完整的VHDL代码)

VHDL语言实现乐曲演奏电路本程序是用VHDL对《梁祝协奏曲》中《化蝶》部分的乐曲电路实现。
2011-08-18 10:31:53

X-HDL v3.2.55 VHDL/Verilog语言翻译器

X-HDL:软件简介—SoftWare Description: X-HDL v3.2.55 VHDL/Verilog语言翻译器 一款VHDL/Verilog语言翻译器。可实现VHDL和Verilog语言的相互智能化转化。这分别是windows、linux、solaris版本。
2006-03-25 12:00:47355

VHDL硬件描述语言教学.

VHDL硬件描述语言教学:包括fpga讲义,VHDL硬件描述语言基础,VHDL语言的层次化设计的教学幻灯片
2006-03-27 23:46:4993

vhdl语言ppt

VHDL的定义和功能VHDL的发展概况程序编程语言和硬件描述语言的对比引入硬件描述语言对系统进
2008-09-03 12:58:4139

VHDL硬件描述语言 pdf

全面地介绍了VHDL硬件描述语言的基本知识和利用VHDL进行数字电路系统设计的方法。全书共分13章:第1-6
2008-09-11 15:45:271333

组合逻辑电路设计实验

组合逻辑电路设计一、实验目的1. 熟悉组合逻辑电路的基本设计方法;2. 练习用门电路、译码器、数据选择器设计组合逻辑电路。二、实验设备1.
2008-09-12 16:41:230

VHDL语言及其应用 pdf

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言
2009-02-12 09:41:38172

VHDL语言的程序结构与数据类型

[学习要求] 掌握VHDL硬件描述语言的基本语法和源文件的结构,学会用VHDL硬件描述语言设计典型数字逻辑电路。[重点与难点]重点:VHDL语言的程序结构;VHDL语言的数据类型及数
2009-03-18 20:02:3547

VHDL语言及其应用

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言
2009-07-10 17:21:4418

VHDL语言及其应用

VHDL语言及其应用的主要内容:第一章 硬件模型概述第二章 基本的VHDL编程语言第三章 VHDL模型的组织第四章 VHDL综合工具第五章 VHDL应用样例附录A VHDL
2009-07-20 12:06:150

VHDL语言概述

VHDL语言概述:本章主要内容:􀁺硬件描述语言(HDL)􀁺VHDL语言的特点􀁺VHDL语言的开发流程 1.1 1.1 硬件描述语言( 硬件描述语言(HDL HDL)􀂾H
2009-08-09 23:13:2047

VHDL语言及其在实际电路设计中的简化问题

VHDL(超高速集成电路硬件描述语言)目前在电子设计领域得到了广泛的应用。但是,实现同样的系统功能,不同的电路设计师可以采用不同的实际方法,这样就存在一个电路复杂程
2009-08-13 08:27:2220

在MAX+plusII平台下用VHDL进行数字电路设计

本文介绍了在ALTERA 公司的EDA 软件MAX+plusII 平台下用VHDL 语言进行数字电路设计的主要流程,并用一个设计实例阐述演示了设计过程。关键词:电子设计自动化 MAX+plusII 硬件描述
2009-08-25 14:50:3238

VHDL语言描述数字系统

VHDL语言描述数字系统:本章介绍用 VHDL 描述硬件电路的一些基本手段和基本方法。   VHDL 语言是美国国防部在 20 世纪 80 年代初为实现其高速集成电路计划(VHSIC)而提出的
2009-09-01 09:02:4037

使用VHDL语言中几个常见问题的探讨

结合应用MaxplusⅡ软件进行VHDL 语言代码编写的经验,阐述使用VHDL 语言的过程中比较常见的几个问题。
2009-09-10 16:19:2425

VHDL 语言程序的元素

VHDL 语言程序的元素:本章主要内容:􀁺VHDL语言的对象􀁺VHDL语言的数据类型􀁺VHDL语言的运算符􀁺VHDL语言的标识符􀁺VHDL语言的词法单元
2009-09-28 14:32:2141

vhdl数字系统设计

vhdl数字系统设计是数字电路自动化设计(EDA)入门的工具书。其内容主要包括:用VHDL语言设计的基本组合电路、时序电路、数字综合电路电路图输入法要领概述、实用VHDL语句
2009-10-08 21:54:010

VHDL基础教程

VHDL基础教程:VHDL语言及其应用目录:第1章 VHDL基本概念 1.1 数字系统建模 1.2 建模的域和级 1.3 建模语言 1.4 VHDL建模的概念 1.5 一个VHDL设计实例 1 6
2009-10-16 18:17:58357

VHDL硬件描述语言与数字逻辑电路设计

VHDL硬件描述语言与数字逻辑电路设计:本书系统地介绍了一种硬件描述语言,即VHDL语言设计数字逻辑电路和数字系统的新方法。这是电子电路设计方法上一次革命性的变化,也是迈
2010-02-06 16:55:22359

组合逻辑电路设计基础

讲述组合逻辑电路设计基础
2010-05-06 10:29:150

VHDL在数字电路设计中的应用

摘要:介绍应用高速集成电路硬件描述语言(VHDL)在Altera公司的MAX+plusII环境下,设计专用分配器和计数器。关键词:VHDL;分配器;计数器
2010-05-13 09:44:1138

VHDL语言实现3分频电路

VHDL语言实现3分频电路 标签/分类: 众所周知,分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相
2007-08-21 15:28:165527

组合机床顺序控制电路设计

组合机床顺序控制电路设计 一、 实验目的;1、 熟悉常用低压电器元件的使用。2、 掌握控制电路设计的方法
2008-09-23 08:18:483238

时序电路设计串入/并出移位寄存器

时序电路设计串入/并出移位寄存器一  实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握使用VHDL语言进行时序电路设计的方法。
2009-03-13 19:29:515733

时序电路设计串入/并出移位寄存器

时序电路设计串入/并出移位寄存器一  实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握使用VHDL语言进行时序电路设计的方法。
2009-03-13 19:29:522024

VHDL语言应用实例指导

VHDL语言应用实例指导 VHDL中的标识符可以是常数、变量、信号、端口、子程序或参数的名字。使用标识符要遵守如下法则
2009-03-20 14:15:532064

VHDL语言在FPGA/CPLD开发中的应用?

【摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。
2009-05-10 19:47:301111

基于ISP的导弹测试系统接口电路设计?

【摘 要】 介绍了在VXI总线仪器构成的导弹测试系统中,利用ISP器件使接口电路设计简化,并用VHDL语言实现了ISP器件的内部逻辑,给出了设计的方法及部分VHDL源代码。
2009-05-11 20:00:04864

VHDL语言实现3分频电路(占空比为2比1)

VHDL语言实现3分频电路(占空比为2比1) 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁
2009-06-22 07:46:337831

基于VHDL语言的按键消抖电路设计及仿真

基于VHDL语言的按键消抖电路设计及仿真  按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点,在触点闭合和断开时都会产生抖动。为避免
2010-01-04 10:39:135588

VHDL和Verilog HDL语言对比

VHDL和Verilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL
2010-02-09 09:01:1710317

采用CPLD/FPGA的VHDL语言电路优化原理设计

采用CPLD/FPGA的VHDL语言电路优化原理设计 VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起
2010-03-19 11:38:022318

VHDL语言为核心的EDA技术在医学中的应用

VHDL超高速集成电路硬件描述语言是随着集成电路系统化和高度集成化逐步发展起来的,是一种用于数字系统设计、测试,面向多领域、多层次的IEEE标准硬件描述语言。它从20世纪70年代作为电路设计工具诞生于美国国防部至今,已经成为十分流行的硬件描述工具,并且
2011-01-18 12:45:171075

VHDL与数字电路设计

VHDL与数字电路设计》是有卢毅、赖杰主编的,主要介绍涉及数字系统设计的多方面原理、技术及应用,主要内容有数字系统的基本设计思想、设计方法和设计步骤, VHDL 硬件描述语言
2011-07-11 15:54:270

VHDL语言在状态机电路中的设计

简要介绍了 VHDL 语言进行工程设计的优点,并详细说明了利用VHDL语言设计状态机电电路的过程,最后进行了仿真,仿真结果证明该设计能够实现状态机电路的功能。
2011-07-18 10:31:2083

VHDL语言中信号的不同形式设置

摘要: 通过一个偶同位产生器逻辑功能的实现过程,介绍了VHDL语言中信号设置的不同方 式及注意事项,并给出了完整的程序代码。 关键词: VHDL;程序 1 概述 VHDL是一种快速的 电路
2011-10-06 08:48:281091

基于CPLD/FPGA的VHDL语言电路优化设计

VHDL(Very High Speed Integrated CIRCUITHARDWARE DESCRIPTION Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的。
2012-03-02 09:16:053822

[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版

电子发烧友网站提供《[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版.txt》资料免费下载
2012-07-10 18:32:330

经典教材-VHDL硬件描述语言与数字逻辑电路设计(第三版)

电子发烧友网站提供《经典教材-VHDL硬件描述语言与数字逻辑电路设计(第三版).txt》资料免费下载
2014-08-27 11:41:090

VHDL语言快速入门必读

VHDL语言快速入门,很精练的语言总结,希望大家有用到
2015-10-29 18:24:4631

基于CPLD的VHDL语言数字钟(含秒表)设计

基于CPLD的VHDL语言数字钟(含秒表)设计
2015-11-04 15:14:369

VHDL应用工程

本书以 VHDL 程序设计基础与工程实践为内容,全面介绍了 VHDL 程序设计的基础知 识和基本技术,并结合工程实例讲解电路设计的基本流程和 VHDL技术的应用。本书基本涵
2016-02-17 15:52:133

VHDL语言在MAXPLUS软件的设计案例

VHDL语言在MAXPLUS软件的设计案例,好东西,喜欢的朋友可以下载来学习。
2016-02-19 17:18:550

VHDL数字电路设计教程之系统设计

本书共分为三个基本组成部分,首先详细介绍VHDL语言的背景知识、基本语法结构和VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元
2016-04-25 17:07:530

VHDL数字电路设计教程之电路设计

本书共分为三个基本组成部分,首先详细介绍VHDL语言的背景知识、基本语法结构和VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元
2016-04-25 17:07:530

VHDL数字电路设计与应用实践教程

这本VHDL书籍,配套学习VHDL语言时从简到难的例子,极大地帮助学习VHDL硬件编辑语言的初学者,如果是刚学完不就VHDL语言,下篇也是非常有益的例子,例子非常详细。
2016-08-03 18:36:2520

VHDL语言(修改)

VHDL语言(修改)有需要的朋友下来看看
2016-08-05 17:32:5324

VHDL硬件描述语言

VHDL语言编程学习之VHDL硬件描述语言
2016-09-01 15:27:270

硬件描述语言VHDL

硬件描述语言VHDL的学习文档,详细的介绍了VHDL
2016-09-02 17:00:5312

VHDL语言要素

VHDL语言要素,大学EDA课程必备资料,在实际的应用中,VHDL仿真器讲INTEGER类型的数据作为有符号数处理,而综合器将INTEGER作为无符号数处理. VHDL综合器要求利用RANGE子句
2016-11-21 15:40:340

VHDL硬件描述语言与数字逻辑电路设计

VHDL硬件描述语言与数字逻辑电路设计,感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 14:20:340

VHDL语言的LCD12864

电子设计研发部分的研发人员常用资料——VHDL语言的LCD12864。
2016-11-03 14:26:190

VHDL在显示屏控制电路设计中的应用

VHDL在显示屏控制电路设计中的应用
2017-01-02 17:27:104

VHDL硬件描述语言的学习

在小规模数字集成电路就要淘汰的今天,作为一个电类专业的毕业生应该熟悉VHDL语言和CPLD、FPGA器件的设计,阎石教授新编写的教材也加入了VHDL语言方面的内容,可见使用VHDL语言将数字系统集成
2017-12-05 09:00:3120

硬件描述语言VHDL优点及缺点

1987年, VHDL被正式确定为IEEE 1076标准。 VHDL是一种强类型语言, 具有丰富的表达能力, 可使各种复杂度(系统级、 电路板级、 芯片级、 门级)的电路网络在同一抽象程度上被描述
2018-03-30 11:20:159

VHDL语言设计实体的基本结构

VHDL语言是一种在EDA设计中广泛流行的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL语言的句法、语言形式和描述风格十分类似于一般的计算机高级语言,是目前硬件描述语言中应用最为广泛的一种。
2018-03-30 16:04:2721

基于VHDL的串行发送电路设计

基于VHDL的串口RS232电路设计 随着电子技术的发展,现场可编程门阵列 FPGA和复杂可编程逻辑器件CPLD的出现,使得电子系统的设计者利用与器件相应的电子CAD软件,在实验室里就可以设计自己的专用集成电路ASIC器件。
2018-06-25 09:03:001906

VHDL教程之VHDL语言元素的详细资料概述

本文档的主要内容详细介绍的是VHDL教程之VHDL语言元素的详细资料概述一内容包括了:1. VHDL语言的客体2 VHDL语言的数据类型3 VHDL数据类型转换4 VHDL词法规则与标识符
2018-11-05 08:00:000

VHDL硬件描述语言入门教程资料免费下载

本文档的主要内容详细介绍的是VHDL硬件描述语言入门教程资料免费下载包括了:1. VHDL语言基础,2. VHDL基本结构,3. VHDL语句,4. 状态机在VHDL中的实现,5. 常用电路VHDL程序,6. VHDL仿真,7. VHDL综合
2019-04-08 08:00:0041

vhdl语言的操作符_vhdl语言有什么用

VHDL是一种用来描述数字逻辑系统的“编程语言”。它通过对硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方向。VHDL是为了满足逻辑设计过程中的各种需求而设计的。
2020-04-23 15:51:032362

什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

VHDL电路设计的优化问题解决

VHDL电路设计的优化与VHDL描述语句、EDA工具以及可编程器件(PLD)的选用都有着直接的关系。
2020-07-16 08:46:032333

基于VHDL语言和可编程逻辑器件实现Petri网逻辑控制器的设计

VHDL语言由于其其强大的行为描述能力及与硬件行为无关的特性,被广泛的用于数字系统设计,实现了硬件电路设计的软件化,成为实现Petri网逻辑控制器的有力的工具。用VHDL语言进行数字电路设计的很大
2020-09-22 20:46:51691

使用VHDL实现数字电路设计的详细资料说明

本文档的主要内容详细介绍的是使用VHDL实现数字电路设计的详细资料说明包括了:ASIC技术的发展,电路系统设计方法,自定向下的设计流程,设计描述风格。
2021-01-21 17:03:1814

VHDL语言介绍及设计的详细资料说明

VHDL 语言的英文全名为Very High Speed IntegratedCircuit Hardware Description Language,即超高速集成电路硬件描述语言
2021-01-21 17:03:176

VHDL的硬件描述语言基础详细资料说明

本文档的主要内容详细介绍的是VHDL的硬件描述语言基础详细资料说明包括了:简介,基本结构,基本数据类型,设计组合电路,设计时序电路,设计状态机,大规模电路的层次化设计,Function and Procedure
2021-01-21 17:03:1618

VHDL语言100例

本例针对一个典型的加法器进行VHDL语言的描述,比较特殊的是该加法器带有一个控制端口。它用于完成两个位向量的相加:其电路系统示意图如图1.1所示。
2022-11-03 16:12:282

基于VHDL组合逻辑设计

电子发烧友网站提供《基于VHDL组合逻辑设计.ppt》资料免费下载
2024-03-11 09:23:292

已全部加载完成