标签 > chisel

chisel+关注0人关注

文章:6 浏览:443

Chisel是一门建构在Scala语言之上的领域专用语言,得益于Scala作为高级语言的简洁风格和强大的抽象能力,Chisel相比于传统的Verilog语言开发速度快很多。Chisel最突出的优势在于参数化、模块化的设计理念,使得Chisel成为一种高效的模块生成器。本文主要是关于Chisel的入门内容,包括搭建开发环境、基本的语法、生成Verilog以及编写运行测试。

关注此标签的用户(0人)

热门标签